1.debussy版本对应的是debussy 5.4V9,modelsim使用的是10.1a

2.需要注意64bit的modelsim,如64bit-10.2C和debussy不兼容,无法识别novas.dll,需要安装10.1a

3.使用debussy查看modelsim的波形设置如下:

a,首先将debussy安装目录下的novas.dll复制到modelsim 安装目录下的win32下;

b,更改modelsim.ini的可读属性去掉,找到Veriuser更改为Veriuser = D:/Novas/Debussy/share/PLI/modelsim_pli54/WINNT/novas.dll,注意使用/

c,新建环境变量:PLIOBJS = D:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll

d,在tb文件中添加

initial

begin

$fsdbDumpfile("1.fsdb");

$fsdbDumpvars;

end

【modelsim和debussy】设置相关推荐

  1. debussy与modelsim的联调设置

    本文转载自: https://www.cnblogs.com/zhihongyu/archive/2012/03/23/2413552.html 作者:zhihongyu 转载请注明该声明. 前段时间 ...

  2. modelsim与debussy联调环境的搭建

    为了方便查看波形,找来了一款软件--debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多 ...

  3. ModelSim 与Debussy联调

    ModelSim 与Debussy联调 seuchenrui@126.com Windows环境下的Verilog仿真调试工具,也就那么几个: Mentor的ModeLsIM Xilinx的ISIM ...

  4. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

  5. 1.Debussy安装 与 modelsim与debussy联调环境的搭建

    目录 1.Debussy安装 新增说明:请先阅读<2.Debussy安装 与 modelsim与debussy联调环境的搭建>再返回来看这篇文章的具体步骤,目前已完全解决问题! 博客链接: ...

  6. modelsim与debussy的联合仿真

    本文主要讲述的是 modelsim与debussy的联合仿真. 前提:已经安装好 modelsim和debussy软件. 步骤: 1.将Debussy安装目录下share\PLI\modelsim_p ...

  7. 2.Debussy安装 与 modelsim与debussy联调环境的搭建

    前言:因为我跟着学习的老师,使用的是行业内很久以前在用的联调工具,因为目前我们的机器中都是ModelSim Win64,无法实现Debussy与Modelsim联调实现 nWave的功能,又作者这样做 ...

  8. ModelSim 相关实用设置

    目录 一.工具栏设置 二.Wave 界面设置 一.工具栏设置 新安装的 ModelSim 工具栏有三行,有很多功能其实没怎么用到,比较常用的功能如下图所示 在工具栏空白处右键,只勾选如下图所示的选项即 ...

  9. modelsim与debussy联合的问题

    最近用modelsim仿真,老出现Warning: (vsim-PLI-3003) F:/project/net_cfg/test_top.v(148):[TOFD] - System task or ...

最新文章

  1. Swift 中枚举、结构体、类(enum、struct、class)
  2. Win7命令终端基础配色指南
  3. 南通市交巡警支队同城异地容灾备份系统项目中标结果公告
  4. 【Linux】一步一步学Linux——echo命令(203)
  5. html动画效果结束返回,javascript判断css3动画结束 css3动画结束的回调函数
  6. SQL注入漏洞(原理;网页注入)
  7. beego——URL构建
  8. RouterOS安装以及搭建DHCP PPPoE PPTP L2TP服务
  9. Linux 命令行快捷键
  10. 周志华最新论文 | 深度森林:探索深度神经网络以外的方法
  11. Cadence学习之路(三)STM32芯片原理图绘制
  12. console接口配置登录密码
  13. unity 官方案例之刚体控制人物移动
  14. 新司机的黑裙战斗机 篇四:新司机的黑群晖指北—软件篇(下)
  15. ArcGIS——数据库空间SQL(一、oracle中使用sql空间查询及st_astext等函数出错问题)
  16. MySQL之LIST和LIST COLUMNS分区初探
  17. java设计模式——门面与调停
  18. [ONTAK2010]Peaks【并查集+线段树合并】
  19. 查找——散列表的散列函数及冲突的处理办法
  20. 植物大战僵尸基于OpenCv实现

热门文章

  1. 构建Raspberry Pi,脉冲传感器和LCD的心跳计数器
  2. idea中java版本设置
  3. Android Studio 修改 Java 语言版本到 1.8
  4. 解码失败会显示绿屏,及yuv和rgb简单理解
  5. 2022-2028全球与中国机场自助服务市场现状及未来发展趋势
  6. MultiTech MT9234ZBA 调制解调器Modem的测试方法
  7. 地理位置与经纬度之间相互转换(基于python调用百度API)
  8. BNF之讲解 ABNF之规范 (RFC 5234)
  9. uboot启动流程详解
  10. 项目3抽象类与纯虚函数