一收一发工程,工程下载在资源里https://download.csdn.net/download/tusiji5286/85169334。
顶层代码:

`timescale 1ns / 1ps
//
module TOP(input CLK_IN1_D_0_clk_n,input CLK_IN1_D_0_clk_p,input adc0_clk_0_clk_n,input adc0_clk_0_clk_p,input dac1_clk_0_clk_n,input dac1_clk_0_clk_p,input sysref_in_0_diff_n,input sysref_in_0_diff_p,input vin0_01_0_v_n,input vin0_01_0_v_p,output vout10_0_v_n,output vout10_0_v_p );
wire clk_da,locked_da,clk_ad,locked_ad;
wire [31:0] douti,doutq;
wire [63:0] s10_axis_0_tdata;
reg [12:0] cnt;
always @(posedge clk_da or negedge locked_da) begin
if(!locked_da) cnt <= 13'd0;
else cnt <= cnt + 1'b1;
end
idata idata_i (.clka(clk_da),    // input wire clka.addra(cnt),  // input wire [12 : 0] addra.douta(douti)  // output wire [31 : 0] douta
);
qdata qdata_i (.clka(clk_da),    // input wire clka.addra(cnt),  // input wire [12 : 0] addra.douta(doutq)  // output wire [31 : 0] douta
);
assign s10_axis_0_tdata = {doutq[31:16],douti[31:16],doutq[15:0],douti[15:0]};
wire [31:0]  m00_axis_0_tdata,m01_axis_0_tdata;
RFSOC_1T1R_wrapper RFSOC_1T1R_wrapper_top(.CLK_IN1_D_0_clk_n (CLK_IN1_D_0_clk_n ),.CLK_IN1_D_0_clk_p (CLK_IN1_D_0_clk_p ),.adc0_clk_0_clk_n  (adc0_clk_0_clk_n  ),.adc0_clk_0_clk_p  (adc0_clk_0_clk_p  ),.clk_ad            (clk_ad            ),.clk_da            (clk_da            ),.dac1_clk_0_clk_n  (dac1_clk_0_clk_n  ),.dac1_clk_0_clk_p  (dac1_clk_0_clk_p  ),.locked_ad         (locked_ad         ),.locked_da         (locked_da         ),.m00_axis_0_tdata  (m00_axis_0_tdata  ),.m00_axis_0_tready (1'b1 ),.m00_axis_0_tvalid ( ),.m01_axis_0_tdata  (m01_axis_0_tdata  ),.m01_axis_0_tready (1'b1 ),.m01_axis_0_tvalid ( ),.s10_axis_0_tdata  (s10_axis_0_tdata  ),.s10_axis_0_tready ( ),.s10_axis_0_tvalid (1'b1 ),.sysref_in_0_diff_n(sysref_in_0_diff_n),.sysref_in_0_diff_p(sysref_in_0_diff_p),.vin0_01_0_v_n     (vin0_01_0_v_n     ),.vin0_01_0_v_p     (vin0_01_0_v_p     ),.vout10_0_v_n      (vout10_0_v_n      ),.vout10_0_v_p      (vout10_0_v_p      ));wire [15:0] adi0,adi1,adq0,adq1;
assign adi0 = m00_axis_0_tdata[15:0];
assign adi1 = m00_axis_0_tdata[31:16];
assign adq0 = m01_axis_0_tdata[15:0];
assign adq1 = m01_axis_0_tdata[31:16];
ila_adiq ila_adiq_top (.clk(clk_ad), // input wire clk.probe0({adq1,adq0,adi1,adi0}) // input wire [63:0] probe0
);
endmodule

关于RF data converter的block design:

//--------------------------------------------------------------------------------
`timescale 1 ps / 1 psmodule RFSOC_1T1R_wrapper(CLK_IN1_D_0_clk_n,CLK_IN1_D_0_clk_p,adc0_clk_0_clk_n,adc0_clk_0_clk_p,clk_ad,clk_da,dac1_clk_0_clk_n,dac1_clk_0_clk_p,locked_ad,locked_da,m00_axis_0_tdata,m00_axis_0_tready,m00_axis_0_tvalid,m01_axis_0_tdata,m01_axis_0_tready,m01_axis_0_tvalid,s10_axis_0_tdata,s10_axis_0_tready,s10_axis_0_tvalid,sysref_in_0_diff_n,sysref_in_0_diff_p,vin0_01_0_v_n,vin0_01_0_v_p,vout10_0_v_n,vout10_0_v_p);input CLK_IN1_D_0_clk_n;input CLK_IN1_D_0_clk_p;input adc0_clk_0_clk_n;input adc0_clk_0_clk_p;output clk_ad;output clk_da;input dac1_clk_0_clk_n;input dac1_clk_0_clk_p;output locked_ad;output locked_da;output [31:0]m00_axis_0_tdata;input m00_axis_0_tready;output m00_axis_0_tvalid;output [31:0]m01_axis_0_tdata;input m01_axis_0_tready;output m01_axis_0_tvalid;input [63:0]s10_axis_0_tdata;output s10_axis_0_tready;input s10_axis_0_tvalid;input sysref_in_0_diff_n;input sysref_in_0_diff_p;input vin0_01_0_v_n;input vin0_01_0_v_p;output vout10_0_v_n;output vout10_0_v_p;wire CLK_IN1_D_0_clk_n;wire CLK_IN1_D_0_clk_p;wire adc0_clk_0_clk_n;wire adc0_clk_0_clk_p;wire clk_ad;wire clk_da;wire dac1_clk_0_clk_n;wire dac1_clk_0_clk_p;wire locked_ad;wire locked_da;wire [31:0]m00_axis_0_tdata;wire m00_axis_0_tready;wire m00_axis_0_tvalid;wire [31:0]m01_axis_0_tdata;wire m01_axis_0_tready;wire m01_axis_0_tvalid;wire [63:0]s10_axis_0_tdata;wire s10_axis_0_tready;wire s10_axis_0_tvalid;wire sysref_in_0_diff_n;wire sysref_in_0_diff_p;wire vin0_01_0_v_n;wire vin0_01_0_v_p;wire vout10_0_v_n;wire vout10_0_v_p;RFSOC_1T1R RFSOC_1T1R_i(.CLK_IN1_D_0_clk_n(CLK_IN1_D_0_clk_n),.CLK_IN1_D_0_clk_p(CLK_IN1_D_0_clk_p),.adc0_clk_0_clk_n(adc0_clk_0_clk_n),.adc0_clk_0_clk_p(adc0_clk_0_clk_p),.clk_ad(clk_ad),.clk_da(clk_da),.dac1_clk_0_clk_n(dac1_clk_0_clk_n),.dac1_clk_0_clk_p(dac1_clk_0_clk_p),.locked_ad(locked_ad),.locked_da(locked_da),.m00_axis_0_tdata(m00_axis_0_tdata),.m00_axis_0_tready(m00_axis_0_tready),.m00_axis_0_tvalid(m00_axis_0_tvalid),.m01_axis_0_tdata(m01_axis_0_tdata),.m01_axis_0_tready(m01_axis_0_tready),.m01_axis_0_tvalid(m01_axis_0_tvalid),.s10_axis_0_tdata(s10_axis_0_tdata),.s10_axis_0_tready(s10_axis_0_tready),.s10_axis_0_tvalid(s10_axis_0_tvalid),.sysref_in_0_diff_n(sysref_in_0_diff_n),.sysref_in_0_diff_p(sysref_in_0_diff_p),.vin0_01_0_v_n(vin0_01_0_v_n),.vin0_01_0_v_p(vin0_01_0_v_p),.vout10_0_v_n(vout10_0_v_n),.vout10_0_v_p(vout10_0_v_p));
endmodule

block design截图:

RFSOC ZCU111 PL工程(记录三)相关推荐

  1. 武汉大学测绘学院19级导航工程第三学期专业实习

    武汉大学测绘学院19级导航工程第三学期专业实习 前言 实习任务 实习软件 自编程序 更多 前言 武汉大学测绘学院导航工程专业实习 利用GNSS接收机进行不同观测环境下的静态数据和动态数据的采集,利用C ...

  2. Zynq UltraScale + RFSoC ZCU111专栏3-时钟树配置-LMK04208

    Zynq UltraScale + RFSoC ZCU111专栏3-时钟树配置-LMK04208 软件环境准备 ZCU111开发板使用了一颗LMK04208 作为RF系统的时钟发生芯片. 文中链接均为 ...

  3. pl/sql中三种游标循环效率对比

    pl/sql中三种游标循环效率对比 - Oracle数据库栏目 - 红黑联盟 http://www.2cto.com/database/201307/224636.html 转载于:https://b ...

  4. 《scikit-learn》数据预处理与特征工程(三)特征选择

    特征工程包括三个部分: 1.特征提取:从文字,图像,声音等其他非结构化数据中提取信息作为特征,建立最原始特征信息采集. 2.特征创造:把现有的一些特征进行组合胡总和相互计算,得到新的特征. 3:特征选 ...

  5. MySQL学习记录 (三) ----- SQL数据定义语句(DDL)

    相关文章: <MySQL学习记录 (一) ----- 有关数据库的基本概念和MySQL常用命令> <MySQL学习记录 (二) ----- SQL数据查询语句(DQL)> &l ...

  6. 日常问题解决记录三:记一次Win10安装Oracle11g后遇到的问题

    日常问题解决记录三:记一次Win10安装Oracle11g后遇到的问题 参考文章: (1)日常问题解决记录三:记一次Win10安装Oracle11g后遇到的问题 (2)https://www.cnbl ...

  7. iOS开发 XCode如何清理历史的项目工程记录

    1.XCode开发一段时间后,右边会有很多的历史项目工程记录,那么如何清理呢?右边没看到有Clear的选项呢? 2.Xcode->File->Open Recent->Clear M ...

  8. ADI demo PL工程的编译-以adrv9371x_zc706为例子之使用Cygwin

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 ADI demo PL工程的编译-以adrv9371x_zc706为例子之使用Cygwin 前言 Cygwin 安装 工程编译 总结 ...

  9. ADI demo PL工程的编译-以adrv9371x_zc706为例子

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 ADI demo PL工程的编译-以adrv9371x_zc706为例子 参考网页 最近在研究AD9371,ADI官网上是提供了很多示 ...

最新文章

  1. android源码framework下添加新资源的方法
  2. 【Linux 内核 内存管理】Linux 内核堆内存管理 ② ( 动态分配堆内存方式 | brk 系统调用 | mmap 系统调用 | brk 系统调用源码介绍 )
  3. 查询进程并杀死该进程
  4. Android使用开源项目Xutils实现多线程下载文件
  5. vc6.0 matlab混合编程,matlab2010 + vc6.0混合编程实例(调用dll)
  6. 仿IOS介绍APP下载页源码
  7. php统计字数指定位置,php实现的统计字数函数定义与使用示例
  8. 多元Huffman编码问题
  9. Qt编写安防视频监控系统23-图片地图
  10. 串口重映射printf
  11. 姜小白的python日记Day3 初识模块与数据运算
  12. 祝CSDN2021牛气冲天祝我也拨云散雾
  13. 旅途——Python流程控制
  14. jeecg 从一个Tab页跳转到另一个Tab页
  15. Django笔记(六)
  16. 知识图谱——相关链接
  17. 微信电话本能成功吗?够呛
  18. Ubuntu 18.04 添加自定义app到桌面和搜索栏
  19. 单点登录3 手撕代码模拟CAS实现单点登录
  20. python linux下运行selenium

热门文章

  1. Andriod 9.0 x86 双系统安装 ARM兼容 台式机网络
  2. UE3 的Config文件夹
  3. description在PHP中作用,html元素meta标签name=“description”属性作用及用法详解
  4. python插件安装方法_【NUKE教程】Nuke Python 安装插件
  5. 般若波罗蜜多心经(转载)
  6. 阿里2021CTO线大数据方向一面
  7. bat循环处理oracle中sql脚本,oracle使用bat脚本批量执行sql文件
  8. 2023金3银4求职季,APP面试题放送(建议收藏)
  9. 深夜一家面馆里所发生的一幕
  10. 情人节由来及经典台词