目录

数字后仿步骤:

什么是SDF文件?

如何反标SDF文件?

(1)作为elaboration的选项

(2)使用系统函数$sdf_annotate


数字后仿步骤:

1、用时序计算工具生成时序信息存储在SDF文件中

2、将SDF文件反标到设计中:在tb_top文件中加入语句 initial $sdf_annotate.

什么是SDF文件?

SDF(Standard Delay Format)文件是把布局布线过程中器件延时和线延时的信息保留下来,据此就可以在路径时序分析时将整条路径的时序计算出来,再判断时序约束条件就能知道是否满足时序要求。SDF文件包含设计中所有单元的时序信息,它为仿真门级网表提供时序信息。

SDF文件包括设计中每个单元的时序信息,基本的时序数据由以下几部分组成:

(1)IOPATH延迟

(2)INTERCONNECT延迟

(3)SETUP时序检查

(4)HOLD时序检查

如何反标SDF文件?

反标SDF文件有两种方法,一种作为elaboration的选项指定,另一种是在bench中调用系统函数$sdf_annotate来完成。

(1)作为elaboration的选项

-sdfmin|typ|max:instance_name:file.sdf

如vcs-sdf min:top.i_test.:test.sdf

(2)使用系统函数$sdf_annotate

$sdf_annotate (“sdf_file”[, “module_instance”] [,“sdf_configfile”][,“sdf_logfile”][,“mtm_spec”] [,“scale_factors”][,“scale_type”]);

其中:

“sdf_file”:指定SDF文件的路径

“module_instance”:指定反标设计的范围(scope)

“sdf_configfile”:指定SDF配置文件,一般缺省

“sdf_logfile”:指定VCS保存error 和warnings消息的SDF日志文件。也可以使用+sdfverbose runtime option来打印所有反标消息

“mtm_spec”:指定延迟类型"MINIMUM(min)", "TYPICAL(typ)“或者"MAXIMUM(max)”,“TOOL_CONTROL(default)”。

“scale_factors":分别指定min:typ:max的缩放因子,默认是"1.0:1.0:1.0"

“scale_type”:指定缩放之前延迟值得来源,“FROM_TYPICAL”,“FROM_MIMINUM”, “FROM_MAXIMUM"和"FROM_MTM” (default).

这里除了sdf_file必须给出外,其他参数可以使用默认值,如:

$sdf_annotate(“test.sdf”);

要说明下参数scale_type,sdf文件中时序信息都会由3个数字组成,分别对应是minimum,typical,maximum三种情形下的延迟(当然,有时候会只有minimum和maximum的情况,那么typical的延迟没有就省略)

scale_type的可取值为:

  • FROM_MINIMUX
    选择SDF文件中mininum timing

  • FROM_TYPICAL
    选择SDF文件中typical timing

  • FROM_MAXIMUM
    选择SDF文件中maximum timing

  • FROM_MTM
    系统默认

反标完成后,可以从log中看到这样一句话:

Annotating SDF file "***.sdf" ... Done

数字后仿,SDF文件相关推荐

  1. 面试问题-理解数字后仿,其次针对性理解数字后仿中的sdf文件(约束文件)的作用

    理解数字后仿,其次针对性理解数字后仿中的sdf文件(约束文件)的作用 1)什么是sdf文件 2)如何反标sdf文件? 1,什么是数字后仿? 2,什么是门级网表? 3,什么是sdf文件 4,如何反标sd ...

  2. perl脚本提取后仿中的notimingcheck路径

    文章目录 前言 一.perl脚本提取后仿中的notimingcheck路径 总结 前言 在我们进行IC数字后仿过程中,需要先加上-nonotifier选项,让仿真遇到违例后,先不产生叉态,保证仿真正常 ...

  3. 拆分大sdf文件并删除分子属性数据

    1. OpenBabel拆分sdf文件 使用openbabel来拆分大的sdf文件为单独的sdf文件,可下载安装openbabel babel test_opt.sdf --split -aT -os ...

  4. VCS使用SDF文件进行后仿反标

    概述 从概念上来说,数字验证包含两方面的内容,一个是验证功能,另一个是验证时序.对应的仿真模型(不论是model,standard cell等)也不外乎这两个部分,功能部分由逻辑,udp元件或gate ...

  5. 后仿真如何反标SDF文件

    从概念上来说,数字验证包含两方面的内容,一个是验证功能,另一个是验证时序.对应的仿真模型(不论是model,standard cell等)也不外乎这两个部分,功能部分由逻辑,udp元件或gate构成, ...

  6. 一文讲透芯片后仿中的SDF

    SDF文件是在vcs/nc-verilog后仿真运行时将STD/IO/Macro门级verilog中specify的延迟信息替换为QRC/Star-RC抽取的实际物理延迟信息,如果SDF文件的条件信息 ...

  7. android 录音的格式转换,Android仿微信录音功能(录音后的raw文件转mp3文件)

    现在很多时候需要用到录音,然后如果我们的App是ios和android两端的话,就要考虑录音的文件在两端都能使用,这个时候就需要适配,两端的录音文件都要是mp3文件,这样才能保证两边都能播放. 针对这 ...

  8. vs2010中的ipch文件夹和sdf文件,及禁用后没有资源视图的解决方法

    vs2010中的ipch文件夹和sdf文件 vs2010打开某个工程 ,转换成功之后,在工程目录看见一个sdf文件和一个ipch文件夹,都超大(起码几十M),我一个四百多k 的工程,结果用vs打开过后 ...

  9. IC后仿与sdf反标

    一.基本SDF信息 SDF(Standard Delay Format)标准延迟格式,用来描述时序信息和约束,为网表仿真提供时序信息.SDF中的时序信息主要由以下组成:iopath delay.int ...

最新文章

  1. (C++)1011 World Cup Betting
  2. 我司Spring Boot 项目打包 + Shell 脚本部署详细总结,太有用了!
  3. R语言使用ggplot2包使用geom_violin函数绘制分组小提琴图(配置显示均值、中位数)实战
  4. Java基础知识回顾
  5. 单片机生成随机数的方法总结
  6. ArrayList,Vector, LinkedList的存储性能和特性?
  7. 成功解决raise XGBoostError(_LIB.XGBGetLastError()) xgboost.core.XGBoostError: b'[22:08:00] C:\\Users\\Ad
  8. Linux安装pear包
  9. C指针原理(19)-C指针基础
  10. java 方法 示例_Java 9示例–收集的工厂方法–创建不可修改的列表,集合和映射...
  11. Terracotta Express Model 和 Terracotta Customized Model
  12. 奇安信代码安全实验室帮助谷歌修复 Chrome 沙箱外高危漏洞,获官方致谢
  13. 一步一步 ITextSharp Anchor Image Chapter Section使用
  14. 如何减小电压跟随器输出电阻_一文看懂放大器和比较器差别
  15. 对java方法进行功能增强的三种方法
  16. 听听那冷雨 余光中
  17. java FreeMarker模板路径问题
  18. java倒计时_详解java倒计时三种简单实现方式
  19. 2016杭州云栖大会随笔
  20. 人民币金额由阿拉伯数值转换成汉字大写数值的函数

热门文章

  1. MSN Messenger协议 原作:bhw98
  2. 单个按键,实现单击+双击+长按
  3. 关于 CoreDll.dll 里面的API:FindWindow
  4. 淘宝可以找人找朋友代付款吗?
  5. Artifact XXX:war exploded: Artifact is being deployed, please wait...(已解决)
  6. Unity3D脚印4——GameObject
  7. Unity多场景管理
  8. 李弘毅 机器学习课贴士
  9. 从SNMP到WBEM--论网络和系统管理的发展和展望(SNMP篇)
  10. java accept()用法_Java Stream.Builder accept()用法及代码示例