先踏踏实实的把stm32的外设串口,SPI搞清楚,不要眼高手低,看不起小事。用SPI通信将pixy的数据读出来,将数据用串口发到串口助手上,然后处理数据,利用STM32的定时器调节pwm,控制电机,先让小车跑起来,随后在写小车的程序,和调节PID参数
1.1阅读手册&.C源码:
使能串口外设时钟(挂在APB1下)所以调用
void RCC_APB1PeriphClockCmd(uint32_t RCC_APB1Periph, FunctionalState NewState) 具体可以看一下RCC模块,和时钟树,总线架构。
初始化GPIO:这时要到GPIO模块来(PA9,PA10),复用功能
如果需要重映射配置AFIO寄存器;以串口一为例:AFIO_MAPR寄存器

初始化串口(usart):阅读源码:

typedef struct
{
  uint32_t USART_BaudRate;        
  uint16_t USART_WordLength;             
  uint16_t USART_StopBits;                             
  uint16_t USART_Parity;            
  uint16_t USART_Mode;                
  uint16_t USART_HardwareFlowControl;
} USART_InitTypeDef;
将串口的初始化的状态封装成一个结构体,就像GPIO模块那样,自己先定义这样一个结构体类型,赋值初始化,调用此函数
void USART_Init(USART_TypeDef* USARTx, USART_InitTypeDef* USART_InitStruct)

USART_TypeDef* USARTx是一个结构体指针,它指向USART的首地址,结构体的内存字节对齐,和寄存器的地址一一对应
typedef struct
{
  __IO uint16_t SR;
  uint16_t  RESERVED0; //填充用的,因为C语言结构体内存对齐原则。不然就和寄存器一一不对应了。
  __IO uint16_t DR;         //这里看看USART的寄存器地址映射图增强理解。
  uint16_t  RESERVED1;
  __IO uint16_t BRR;
  uint16_t  RESERVED2;
  __IO uint16_t CR1;
  uint16_t  RESERVED3;
  __IO uint16_t CR2;
  uint16_t  RESERVED4;
  __IO uint16_t CR3;
  uint16_t  RESERVED5;
  __IO uint16_t GTPR;
  uint16_t  RESERVED6;
} USART_TypeDef;                            
开启串口一接受中断:
使能串口一:
编写串口中断函数:
串口发送数据:USART_SendData(USART_TypeDef* USARTx, uint16_t Data)  //
 
1.2:中断向量控制器(NVIC):设置中断优先级:抢占优先级和子优先级;使用中断前,先要设置优先级分组,配置NVIC。
 
1.3:SPI外设模块使用:阅读源码&手册:
使能spi外设(APB1下)先使能外设模块时钟(和串口的套路差不多)pin要复用配置好GPIO的模式。初始化 最后使能外设模块。
SPI1-SPI3 SPI1和SPI3支持重映射,SPI2不支持重映射,默认PB12-PB15 (片选,SCK,SPI2_MISO,SPI2_MOSI)io配置:

51单片机模拟SPI时序操作ds1302(软件模拟)通过时序图模拟时序,通过位于,移位读出(写入)数据,注意高低位

typedef struct
{
  uint16_t SPI_Direction;           /*!< Specifies the SPI unidirectional or bidirectional data mode.单向双向数据模式
                                         This parameter can be a value of @ref SPI_data_direction */  
  uint16_t SPI_Mode;                /*!< Specifies the SPI operating mode.   主从模式
                                         This parameter can be a value of @ref SPI_mode */
  uint16_t SPI_DataSize;            /*!< Specifies the SPI data size.
                                         This parameter can be a value of @ref SPI_data_size */8或16位
  uint16_t SPI_CPOL;                /*!< Specifies the serial clock steady state. 
                                         This parameter can be a value of @ref SPI_Clock_Polarity */ //时钟的高低电平
  uint16_t SPI_CPHA;                /*!< Specifies the clock active edge for the bit capture.
                                           This parameter can be a value of @ref SPI_Clock_Phase *///数据采样时从第一个还是第二个时                                        钟跳变开始
  uint16_t SPI_NSS;                 /*!< Specifies whether the NSS signal is managed by
                                        hardware (NSS pin) or by software using the SSI bit. This parameter can be a value of                                           @ref SPI_Slave_Select_management */  //片选
  uint16_t SPI_BaudRatePrescaler;  
                                                   /*!< Specifies the Baud Rate prescaler value whch will be
                                              used to configure the transmit and receive SCK clock.This parameter can be a value of @ref                                                  SPI_BaudRate_Prescaler.@note The communication clock is derived from the                                                                  masterclock. The slave clock does not need to be set. *///波特率
uint16_t SPI_FirstBit;            /*!< Specifies whether data transfers start from MSB or LSB bit.第一位是最高位还是最低位
                                                   This parameter can be a value of @ref SPI_MSB_LSB_transmission */
uint16_t SPI_CRCPolynomial;       /*!< Specifies the polynomial used for the CRC calculation. */
}SPI_InitTypeDef;

2.1:先明白Pixy的串行协议:SPI通信,在Data  Out  Port选项中设置:

一个数据16位,7个数据,共14字节的数据。
01 00 9B 00 7B 00 A4 00 23 00 55 AA 3A 01 01 00 EE 00 1F 00 1C 00 10 00 55 AA 55 AA  
DC 01 01 00 9B 00 7E 00 A5 00 1D 00 55 AA 39 01 01 00 EE 00 20 00 1D 00 0D 00 55 AA 
9A 00 7E 00 A5 00 1F 00 55 AA 36 01 01 00 EE 00 1E 00 1C 00 0D 00 55 AA 55 AA E4 01 
A2 00 1F 00 55 AA 37 01 01 00 EE 00 1F 00 1C 00 0D 00 55 AA 55 AA D9 01 01 00 9B 00 

这几串数据浪费了我4天时间,真坑。注意SPI与串口读出的数据开始标志数据不同,
学会用上位机配置好pixy。使用spi协议读出数据发给串口:
2.4:处理数据:

接下来用读到的pixy的数据就做你想做的事了。

代码分享:

链接:https://pan.baidu.com/s/1tZpToGphMbimu6dnAQ2V_Q
提取码:ms0q

转载于:https://www.cnblogs.com/947033916-fwh/p/9863174.html

pixySTM32使用记录(串口SPI外设)相关推荐

  1. 串口同步异步c语言程序,同步串口spi的c语言编程

    原标题:同步串口spi的c语言编程 #include #include #define uchar unsigned char #define uint unsigned int uchar Tabl ...

  2. spi 外设驱动(spi_driver)

    spi驱动模型和i2c的类似,都按照主机外设分离来设计的.但我觉得比i2c的要简明好多. 上文配置的spi驱动最外层是platform总线然后是spi总线然后是字符设备. spi驱动模型分为 spi主 ...

  3. (67)SPI外设驱动接收驱动(六)(第14天)

    (67)SPI外设驱动接收驱动(六)(第14天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)SPI外设驱动接收驱动(六)(第14天) 5)技术交流 6)参考资 ...

  4. (66)SPI外设驱动发送驱动(五)(第14天)

    (66)SPI外设驱动发送驱动(五)(第14天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)SPI外设驱动发送驱动(五)(第14天) 5)技术交流 6)参考资 ...

  5. (65)SPI外设驱动用户接收模块(四)(第13天)

    (65)SPI外设驱动用户接收模块(四)(第13天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)SPI外设驱动用户接收模块(四)(第13天) 5)技术交流 6 ...

  6. (64)SPI外设驱动用户发送模块(三)(第13天)

    (64)SPI外设驱动用户发送模块(三)(第13天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)SPI外设驱动用户发送模块(三)(第13天) 5)技术交流 6 ...

  7. (63)SPI外设驱动分频模块(二)(第13天)

    (63)SPI外设驱动分频模块(二)(第13天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)SPI外设驱动分频模块(二)(第13天) 5)技术交流 6)参考资 ...

  8. (62)SPI外设驱动协议(一)(第13天)

    (62)SPI外设驱动协议(一)(第13天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)SPI外设驱动协议(一)(第13天) 5)技术交流 6)参考资料 2 ...

  9. STM32的SPI外设片选只有一个怎么破?

    之前用STM32的SPI需要控制很多外部芯片,可是一个SPI的外设只有一个片选,要实现独立片选一主多从,怎么实现呢? SPI总线拓扑 一般地,SPI总线按照下图方式进行连接,一主多从. 如上图: 每个 ...

最新文章

  1. 共读计划 | 你有多久没读完一本书了?
  2. CNN中的局部连接(Sparse Connectivity)和权值共享
  3. 重视细节,方能得到认可
  4. stonesoft 虚拟安全解决方案
  5. “悟道”公开课第二讲丨如何优化大模型输出结果
  6. c语言中函数(linux命令查看依赖类库),数组(内存存储是连续的内存空间),Linux下查看a,a[0],a之间的值,可变数组
  7. Laravel测试驱动开发--反向单元测试
  8. 软件设计师11-数据库
  9. springboot+mybatis 的org.mybatis.spring.MyBatisSystemException 解决方法
  10. 免费的html空间,免费HTML网页空间(每月200 GB 流量托管静态网页超简单)
  11. Java中操作SFTP服务器上的文件下载上传
  12. 【iOS】编译静态库
  13. jQury+Ajax与C#后台交换数据
  14. 微信8.0.0中的[烟花]\[炸弹]原来还可以这样玩(JAVA脚本)程序员的快乐,很简单,快来看!!!
  15. PHP教程环境--环境搭建
  16. Paraview源码解析8: vtkPVGlyphFilter类
  17. 榜样的力量——2019 IDC中国数字化转型年度盛典启示录
  18. 使用空驱动消除设备管理器里面的未知设备
  19. Linux系统之基础扫盲教程大全
  20. 从乔布斯卸任看苹果未来三年 会否半途而废?

热门文章

  1. python编写一个简单计算器_如何用Tkinter写个简单计算器
  2. Buck芯片SW引脚为什么要接一个100nF电容?
  3. 剑指Offer(java答案)
  4. (Alpha)Let's-版本测试报告
  5. 2021年剧本杀专题研究报告
  6. 2022年全国职业技能大赛网络安全竞赛试题B模块自己解析思路(8)
  7. 企业如何借助第三方平台订立电子劳动合同?
  8. 读 沉思 第三次 有感
  9. 人为增加近义词 | Word2Vec的语料制作和训练
  10. 电脑服务器显示一个f盘损坏,F盘异常如何修复呢?