FLASH 规格

Flash 由主存储区、信息区组成,以下分别进行说明: (以下说明中的容量值不含 ECC)
 主存储区最大为 512KB,也称作主闪存存储器,包含 256 个 Page,用于用户程序的存放和运行,以及数据存储。
 信息区为 20KB,包含 10 个 Page,由系统存储区(16KB) 、系统配置区(2KB) 、选项字节区(2KB)

组成:
 系统存储区为 16KB,包含 8 个 Page,也称作 System Memory,用于引导程序(BOOT)的存放和运行。
 系统配置区为 2KB,包含 1 个 Page。
 选项字节区为 2KB,包含 1 个 Page,也称作 OptionByte,有效空间为 18B,BOOT 程序、用户程序均可以读写擦。

读写操作

Flash 写操作仅支持 32 位操作,写操作之前先擦除 Flash,擦除最小块大小是一个 Page 2KB。写操作分为编程和擦除阶段。
读 Flash 时,读的等待周期数可以通过寄存器配置。使用时,需要结合 AHB 接口时钟频率进行计算,等待时间必须不小于 25ns。
比如: 当 HCLK<=32MHz 时, 等待周期数最小为 0;
当 32MHz<HCLK<=64MHz 时,等待周期数最小为 1;
当64MHz<HCLK<=96MHz 时,等待周期数最小为 2;
当 96MHz<HCLK<=128MHz时,等待周期数最小为 3;
当 128MHz<HCLK<=144MHz 时,等待周期数最小为 4。

选项字节区擦除和编程

对选项字节区的编程与主存储区不同。选项字节的数目只有 9 个字节(4 个字节作为写保护,2 个字节作为读保护,1 个字节为配置选项,2 个字节存储用户数据)。对 Flash 解锁后,必须分别写入 KEY1 和 KEY2(2.2.1.3)到 FLASH_OPTKEY 寄存器,再设置 FLASH_CTRL 寄存器的 OPTWE 位为’1’,此时可以对选项字节区进行编程:设置 FLASH_CTRL 寄存器的 OPTPG 位为’1’后写入字到指定的地址。
对选项字节区字编程时, 使用半字中的低字节并自动地计算出高字节(高字节为低字节的反码), 并开始编程操作,这将保证选项字节和它的反码始终是正确的。
选项字节区擦除过程:
 检查 FLASH_ STS 寄存器的 BUSY 位,以确认没有其他正在进行的闪存操作;
 解锁 FLASH_CTRL 寄存器的 OPTWE 位;
 设置 FLASH_CTRL 寄存器的 OPTER 位为’1’;
 设置 FLASH_CTRL 寄存器的 START 位为’1’;
 等待 BUSY 位变为’0’;
 读出被擦除的选项字节并做验证。
选项字节区编程过程:
 检查 FLASH_ STS 寄存器的 BUSY 位,以确认没有其他正在进行的闪存操作;
 解锁 FLASH_CTRL 寄存器的 OPTWE 位;
 设置 FLASH_CTRL 寄存器的 OPTPG 位为’1’;

#include "n32g4fr.h"
#include "errorno.h"
#include "bsp_flash.h"#define FLASH_PAGE_SIZE         2048
#define FLASH_OB_DATA_ADDR      0x1FFFF804
#define FLASH_OB_DATA1_ADDR     0x1FFFF806uint16_t bsp_flash_get_page_size(void)
{return FLASH_PAGE_SIZE;
}static inline int32_t bsp_flash_sts_to_errno(FLASH_STS sts)
{int32_t ret = RETVAL(E_OK);if (sts == FLASH_TIMEOUT){ret = RETVAL(E_TIMEOUT);}else if (sts == FLASH_BUSY){ret = RETVAL(E_BUSY);}else if(sts == FLASH_ERR_ADD){ret = RETVAL(E_INVAL_ADDR);}else if (sts != FLASH_COMPL){ret = RETVAL(E_FAIL);}return ret;
}int32_t bsp_flash_erase_page(uint32_t addr)
{int32_t ret = RETVAL(E_OK);FLASH_STS sts;FLASH_Unlock();sts = FLASH_EraseOnePage(addr);ret = bsp_flash_sts_to_errno(sts);FLASH_Lock();return ret;
}int32_t bsp_flash_write_words(uint32_t addr, uint32_t *data, uint32_t len)
{FLASH_STS err;int32_t ret = RETVAL(E_OK);uint32_t write_len;uint32_t i;if (len % sizeof(uint32_t) != 0){return RETVAL(E_INVAL_LEN);}write_len = len / sizeof(uint32_t);FLASH_Unlock();for (i = 0; i < write_len; i++){err = FLASH_ProgramWord(addr + i * sizeof(uint32_t), data[i]);if (err != FLASH_COMPL){ret = RETVAL(E_FAIL);break;}}FLASH_Lock();return ret;
}uint8_t bsp_flash_read_byte(uint32_t addr)
{return *(__IO uint8_t *)addr;
}void bsp_flash_read_bytes(uint32_t addr, uint8_t *data, uint32_t len)
{uint32_t i;for (i = 0; i < len; i++){*(data + i) = bsp_flash_read_byte(addr + i * sizeof(uint8_t));}
}uint32_t bsp_flash_read_word(uint32_t addr)
{return *(__IO uint32_t *)addr;
}void bsp_flash_read_words(uint32_t addr, uint32_t *data, uint32_t word_len)
{uint32_t i;for (i = 0; i < word_len; i++){*(data + i) = bsp_flash_read_word(addr + i * sizeof(uint32_t));}
}int32_t bsp_flash_carry(uint32_t t_addr, uint32_t s_addr, uint32_t size)
{FLASH_STS sts;int32_t ret = RETVAL(E_OK);uint32_t word_len;uint32_t page_len;uint32_t i;word_len = (size / sizeof(uint32_t));page_len = (size % FLASH_PAGE_SIZE) == 0 ?(size / FLASH_PAGE_SIZE) : (size / FLASH_PAGE_SIZE) + 1;for (i = 0; i < page_len; i++){bsp_flash_erase_page(t_addr + i * FLASH_PAGE_SIZE);}FLASH_Unlock();for (i = 0; i < word_len; i++){sts = FLASH_ProgramWord(t_addr + i * sizeof(uint32_t),bsp_flash_read_word(s_addr + i * sizeof(uint32_t)));if (sts != FLASH_COMPL){break;}}FLASH_Lock();ret = bsp_flash_sts_to_errno(sts);if (ret == RETVAL(E_OK)){for (i = 0; i < page_len; i++){bsp_flash_erase_page(s_addr + i * FLASH_PAGE_SIZE);}}return ret;
}void bsp_flash_erase_nv(void)
{FLASH_Unlock();FLASH_EraseOB();FLASH_Lock();
}/*** @brief OB Data bit is Half word* @param data (Data1 << 8)| Data0*/
int32_t bsp_flash_write_nv(uint16_t data)
{FLASH_STS sts;int32_t ret = RETVAL(E_OK);bsp_flash_erase_nv();FLASH_Unlock();//OB Data only Low eight bit effective.  high 8bit  [low 8bit]sts = FLASH_ProgramOBData(FLASH_OB_DATA_ADDR, (((data >> 8) & 0x00FF) << 16) | (data & 0x00FF));FLASH_Lock();ret = bsp_flash_sts_to_errno(sts);return ret;
}uint16_t bsp_flash_read_nv(void)
{return ((bsp_flash_read_byte(FLASH_OB_DATA1_ADDR) << 8) |bsp_flash_read_byte(FLASH_OB_DATA_ADDR));
}
#ifndef __BSP_FLASH_H__
#define __BSP_FLASH_H__#include "typedefs.h"uint16_t bsp_flash_get_page_size(void);
int32_t  bsp_flash_erase_page(uint32_t addr);uint8_t  bsp_flash_read_byte(uint32_t addr);
void     bsp_flash_read_bytes(uint32_t addr, uint8_t *data, uint32_t len);
uint32_t bsp_flash_read_word(uint32_t addr);
void     bsp_flash_read_words(uint32_t addr, uint32_t *data, uint32_t len);int32_t  bsp_flash_write_words(uint32_t addr, uint32_t *data, uint32_t len);
int32_t  bsp_flash_carry(uint32_t t_addr, uint32_t s_addr, uint32_t size);void     bsp_flash_erase_nv(void);
int32_t  bsp_flash_write_nv(uint16_t data);
uint16_t bsp_flash_read_nv(void);#endif

[单片机框架][bsp层][N32G4FR][bsp_flash] flash配置和使用相关推荐

  1. [单片机框架][bsp层][N32G4FR][bsp_uart] UART配置和使用

    USART 简介 通用同步异步收发器(USART)是一种全双工或半双工,同步或异步的一个串行数据交换接口.USART 提 供了可编程的波特率发生器,能对系统时钟进行分频产生 USART 发送和接收所需 ...

  2. [单片机框架][bsp层][cx32l003][bsp_adc] ADC配置和使用

    ADC是模数转换器转换器 的供应商的英文简称,是一种能将模拟信号转变为数字信号的电子元件.通常是将信号采样并保持以后,再进行量化和编码,这两个过程是在转化的同时实现的. 分辨率-说明AD对输入信号的分 ...

  3. [单片机框架][bsp层][nrf52832][nrf52840][nrf52810][nrf52820][ESB(2.4G)] ESB(2.4G)使用说明

    这个指南描述了什么是ESB(Enhanced ShockBurst)以及如何在nRF5系列中使用ESB. ESB支持双向数据包通信,包括数据包缓冲,数据包确认和丢失数据包的自动重传的基本协议.ESB提 ...

  4. [单片机框架][drivers层][cw2015/ADC] fuelgauge 硬件电量计和软件电量计(一)

    接上一篇:[单片机框架][device层] fuelgauge 电量计 CW2015 是一款超紧凑.低成本.主机侧/电池组侧.无传感电阻器的电量计量系统 IC,适用于手持和便携式设备中的锂离子 (Li ...

  5. [单片机框架][drivers层][cw2015] fuelgauge 硬件电量计(二)

    接上一篇:[单片机框架][device层] fuelgauge 电量计 [单片机框架][drivers层][cw2015] fuelgauge 硬件电量计(一) 本章是硬件电量计的使用方法,采用IIC ...

  6. [单片机框架][drivers层][extend_16ch] 16位恒流驱动芯片 MBI5020 JXI5020GP

    文章目录 一.简介 二.特性 三. 示例代码 一.简介 4pin控制16ping,IO扩展器件 二.特性 16 个等电流输出通道 等电流输出值不受输出端负载电压影响等电流范围值, 3-30mA@VDp ...

  7. [单片机框架][device层] fuelgauge 电量计

    通过 DEVICE_INITCALL("dev-gauge", fuelgauge_dev_init); 注册驱动,自动在main初始化中运行. 优点:耦合少,可移植性强,适用大团 ...

  8. [单片机框架] [kv_sys] 实现一个简易KV键值系统(升级版)

    [单片机框架] [kv_sys] 实现一个简易KV键值系统 Env 小型KV数据库,支持 写平衡(磨损平衡) 及掉电保护模式 让Flash变为NoSQL(非关系型数据库)模型的小型键值(Key-Val ...

  9. [单片机框架] [kv_sys] 实现一个简易KV键值系统(最终版)

    [单片机框架] [kv_sys] 实现一个简易KV键值系统 [单片机框架] [kv_sys] 实现一个简易KV键值系统(升级版) 本版本改为数据任意长度,灵活性更高. 版本 Code byte RO ...

  10. [单片机框架] [app_led] [WS2812x] 利用软定时器实现WS2812x闪烁和呼吸等灯光模式

    引脚编号 引脚名称 说明 1 DO 控制数据信号输出端 2 DI 控制数据信号输入端 3 VCC 控制电路电源正极 4 NC 空脚 5 VDD LED电源正极 6 VSS 电源负极 数据时序图 0,1 ...

最新文章

  1. 1578: [Usaco2009 Feb]Stock Market 股票市场
  2. 测试脚本的实用性:谈嵌入式系统在型式试验中的脚本应用
  3. CentOS6.5Minimal安装Gitlab7.5
  4. Linux内核移植之一:内核源码结构与Makefile分析
  5. Inserting/Removing shutters and filters
  6. webpack4配置基础
  7. 前端学习(2502):vue指令v-if
  8. Android_adb shell am/pm使用
  9. 研究validation插件到现在的感受
  10. 解决javax.persistence.EntityNotFoundException: Unable to find
  11. 296 最佳的碰头地点
  12. 项目质量管理控制过程的新老七种工具速记法
  13. D-Link 智能家居新品将登陆苹果中国官网
  14. JAVA基础篇(一)
  15. android配置wifi,详解Android通过修改配置文件设置wifi密码
  16. php仿qq登录界面安卓,Android_Android仿QQ登陆窗口实现原理,今天根据腾讯qq,我们做一个 - phpStudy...
  17. Linux监控平台搭建Zabbix(资源)
  18. Java的wait()、notify()学习三部曲之一:JVM源码分析
  19. iOS crash报告问题
  20. ifconfig 下面的一些字段(errors, dropped, overruns)

热门文章

  1. WireShark基本使用(5)第 5 章 文件输入/输出及打印
  2. 大学,不是学习的终点,而是起点。
  3. windows如何导出组策略结果集 (RSOP)
  4. 英威腾伺服驱动器故障代码_英威腾伺服驱动器维修
  5. python抢票软件源代码_一百多行python代码实现抢票助手
  6. SVN 提示svn-base: 系统找不到指定的文件
  7. MySQL 系列(三)你不知道的 视图、触发器、存储过程、函数、事务、索引、语句
  8. HDU-5705-Clock (数学,思维)
  9. 跟刘欣学习造spring
  10. 一次性餐巾行业调研报告 - 市场现状分析与发展前景预测