目录

组网图:

实验步骤:

1、搭建如图组网图

2、配置PC1和PC2

3、交换机配置:创建两个VLAN,与PC相连的端口类型配置为Access,与路由器相连的端口类型配置为trunk,并将PC1划分给VLAN10,PC2划分给VLAN20

4、路由器配置:创建两个子接口GE0/0/0.10和GE0/0/0.20,分别对两个子接口关联两个VLAN,并打开arp协议

5、配置好后稍等片刻测试PC1 和PC2互通性

单臂路由:指在路由器的一个接口上通过配置逻辑子接口的方式,实现原来相互隔离的不同VLAN之间的互通

即数据从哪个口进来,又从哪个口出去,需采用一台支持802.1Q的路由器实现VLAN的互通

注意:配置的逻辑子接口不能被单独开启或者关闭

组网图:

实验步骤:

1、搭建如图组网图

2、配置PC1和PC2

3、交换机配置:创建两个VLAN,与PC相连的端口类型配置为Access,与路由器相连的端口类型配置为trunk,并将PC1划分给VLAN10,PC2划分给VLAN20

4、路由器配置:创建两个子接口GE0/0/0.10和GE0/0/0.20,分别对两个子接口关联两个VLAN,并打开arp协议

PC2同理:

5、配置好后稍等片刻测试PC1 和PC2互通性

Ensp实现单臂路由通信实验相关推荐

  1. 详解eNSP下的单臂路由模拟实验配置

    不同VLAN之间的通信可以通过两种方式:单臂路由和三层交换机.其中,单臂路由是通过路由子接口,交换机的某个端口以trunk的方式与路由器的某个端口相连,同时路由器的链接端口配置子接口,配置子接口承载的 ...

  2. 实验07 路由器的基本配置和单臂路由配置实验报告

    这个格式可能有点问题,文档下载地址:https://download.csdn.net/download/mariodf/20211672?spm=1001.2014.3001.5503 一.实验名称 ...

  3. 单臂路由配置实验 详细教程

    单臂路由详解 1. 单臂路由详解. 1.单臂路由概述 1.1单臂路由实现不同VLAN之间的通信 1.1.1 链路状态 1.1.2子接口 1.2 单臂路由的配置 1.3 检查检查再检查 一定要确定你没有 ...

  4. 华为模拟器-三次交换机、链路捆绑、单臂路由综合实验

    华为模拟器-三次交换机.链路捆绑.单臂路由综合实验 交换机SW1命令 交换机SW2命令 交换机SW3命令 交换机SW4命令 路由器R1命令 交换机SW1命令 划分vlan [Huawei]vlan b ...

  5. 实现ensp的单臂路由

    一.是什么是单臂路由? 单臂路由(router-on-a-stick)是指在路由器的一个接口上通过配置子接口(或"逻辑接口",并不存在真正物理接口)的方式,实现原来相互隔离的不同V ...

  6. 【ensp】单臂路由的配置

    Ensp单臂路由的配置 第一步:搭建拓扑,并划分好各自的vlan区段. 第二步 测试主机的连通性,以确保终端配置没有问题. 第三步 配置交换机,划分vlan,并配置接口类型. 图中的缩写命令:vlan ...

  7. 华为防火墙做单臂路由_【原创】华为网络设备单臂路由配置实验

    坚信:知识改变命运,技术成就梦想. 当今是信息爆炸的年代,过多.无营养的信息充斥着我们,龙哥愿致力于传播正能量.网络技术等有价值的信息,同大家分享. 愿我们都能充分利用零碎时间,每天进步一点点. 单臂 ...

  8. 单臂路由配置实验同一交换机上vlan间ping不通_【干货】什么是单臂路由?如何配置?...

    交换机配置如下: SW#vlan database SW(vlan)#vlan 2 VLAN 2 added: Name: VLAN0002 SW(vlan)#vlan 3 VLAN 3 added: ...

  9. vlan 间路由+单臂路由(实验思路讲解+配置)

    目录 一.Vlan间路由思路 配置: 二.单臂路由思路: 配置: 路由器创建子接口 一.Vlan间路由思路 在三台交换机创建相同的VLAN,互联的链路封装trunk链路   对应的接口分别划进对应的V ...

  10. 华为eNSP 路由器单臂路由配置

    1.实验拓扑图 2.IP地址自行规划设计和VLAN划分 3.对设备进行配置 (1)二层交换机配置 <Huawei>system-view [Huawei]vlan 2 [Huawei-vl ...

最新文章

  1. 学习C++ 丨 类(Classes)的定义与实现!C/C++必学知识点!
  2. python条件表达式有哪几个_python条件表达式:多项分支,双向分支
  3. 64位 atol c linux_C 库函数
  4. MySQL审查工具_MySQL审计工具Audit插件使用
  5. 25个吸引眼球的广告设计
  6. 云计算在未来一定是不可或缺的
  7. python爬抖音短视频_python爬取抖音小视频
  8. Microsoft Updater Application Block 1.5.3 服务器端manifest文件设计 [翻译]
  9. Angular5 *ngIf 和 hidden 的区别
  10. c语言黑色星期五公式,求黑色星期五问题~
  11. 【转】Redis的各项功能解决了哪些问题?
  12. ViewFlipper(翻转视图)使用详解
  13. wget下载文件命令
  14. 贪心算法(greedy algorithm,又称贪婪算法)详解(附例题)
  15. java单点登录需求分析与代码实现
  16. 重启计算机可以使用什么组合键,死机重启电脑快捷键有哪些
  17. VR Masterclass
  18. 沧海云帆服务器哪里的,11月沧海云帆大区天涯合璧 合服服务器公告
  19. 第二证券|支持多子女购房、提高公积金贷款额度、发放限时补贴
  20. OpenCV:02基础知识和绘制图形

热门文章

  1. js中匹配字符,中文文字之间的网址,并进行url跳转
  2. Doris集群安装部署(1.2.4.1 release)
  3. 使用系统函数pow(x,y)计算xy的值。
  4. The game of Fort/Da是自虐还是自保?
  5. Python爬取章鱼猫Octocat全部图片
  6. java微信小程序的加密算法_java微信小程序步数encryptedData和开放数据解密的实现...
  7. 含泪整理最优质玄关过道su模型素材,你想要的这里都有
  8. HTML(div盒子模型)
  9. PDE中正则性(Regularity)
  10. 乡村振兴智库部长专家论坛 谋定研究·中国智库-万祥军:决策平台