第12卷 第3期2004年9月 山东交通学院学报JO UR NAL OF SHANDON G JIAOTONG UNIVERS ITY Vol.12No.3 Sep.2004

基于M A TLAB 的模拟滤波器设计

姜春玲1,史 玲2

(1.泰山学院物理系,山东泰安 271021;2.山东交通学院信息工程系,山东济南 250023)

摘要:模拟滤波器是现代测控系统中的重要部件,传统设计方法的设计过程繁琐。对传统方法与MA TL AB 编

程方法进行了比较,研究了用MA TL AB 实现巴特沃斯滤波器的设计,只要改变程序中相应的参数可以很容易

地实现低通、高通、带通、带阻滤波器,简化了模拟滤波器的设计。

关 键 词:MA TL AB;设计;模拟滤波器

中图分类号:TN 713 文献表示码:A 文章编号:1672-0032(2004)03-0063-03

收稿日期:2004-06-24

作者简介:姜春玲(1969-),女,山东泰安人,泰山学院讲师.

在测控系统中,传感器输出的信号往往混有噪声干扰,虽然可以采取合理布线及屏蔽技术等措施来防止噪声进入系统,但信号中仍含有不可忽略的噪声,通常采用模拟滤波器来消除这些噪声。因此,设计一个合理的模拟滤波器是测控系统中一个非常重要的环节。模拟滤波器的设计一般包括两个方面:1)根据技术指标即滤波器的幅频特性,确定滤波器的传递函数H (s);2)设计实际网络实现这一传递函数。设计滤波器H (s)的关键是找到逼近函数,目前已有多种逼近函数。然而,不论哪种逼近函数都需要进行非常繁琐的计算,还要根据计算结果进行查表。

M AT LAB 语言是一种简单、高效的高级语言,是一种内容丰富、功能强大的分析工具,其应用范围几乎覆盖了所有的科学和工程计算领域。M A TL AB 中提供了丰富的用于模拟滤波器设计的函数,通过编程可以很容易实现低通、高通、带通、带阻滤波器,并能画出滤波器的幅频特性曲线,大大简化了模拟滤波器的设计。本文通过传统方法与M AT L AB 编程方法的比较,研究了用M AT L AB 实现巴特沃斯滤波器设计的方法。

1 低通滤波器的设计

要求在通带截止频率f c =2kHz 处,衰减D c F 3dB;阻带始点频率f z =4kHz 处,衰减D z \15dB [1]。

按照传统的求法,计算n 需要代入公式

n E lg(100.1D z -1)21g 8z 8c =lg(100.1@15-1)2lg 2P @4@102P @2@103

=2.468,n 取整,n =3。然后查表,得传递函数模型。

由此可以看出计算复杂,并且如果没有表,就写不出传递函数。

下面用M A T LAB 来设计该滤波器,计算阶数、截止频率,并画出幅频特性曲线(如图1所示)[2]。

w p=2000*2*pi;

w s=4000*2*pi;

Rp=3;

Rs=15;

[N,Wn]=buttord(wp,w s,Rp,Rs,'s '); %计算阶数和截止频率

Fc=Wn/(2*p);

[b,a]=butter(N,Wn,'s ');

matlab 模拟滤波器,基于MATLAB的模拟滤波器设计相关推荐

  1. Matlab:基于Matlab通过GUI实现自动驾驶的车牌智能识别

    Matlab:基于Matlab通过GUI实现自动驾驶的车牌智能识别 目录 车牌图像数据集 视频动态演示 核心代码 相关文章 Matlab:基于Matlab通过GUI实现自动驾驶的车牌智能识别 Matl ...

  2. Matlab:基于Matlab实现人工智能算法应用的简介(SVM支撑向量机GA遗传算法PSO粒子群优化算法)、案例应用之详细攻略

    Matlab:基于Matlab实现人工智能算法应用的简介(SVM支撑向量机&GA遗传算法&PSO粒子群优化算法).案例应用之详细攻略 目录 1.SVM算法使用案例 1.1.Libsvm ...

  3. Matlab:基于Matlab实现人工智能算法应用的简介(BP神经网络算法NN、SOFM神经网络)、案例应用(基于Matlab的GUI的方式创建/训练/预测神经网络)之详细攻略

    Matlab:基于Matlab实现人工智能算法应用的简介(BP神经网络算法NN.SOFM神经网络).案例应用(基于Matlab的GUI的方式创建/训练/预测神经网络)之详细攻略 目录

  4. matlab rltool,基于Matlab工具箱Rltool的控制系统校正设计

    山西电子技术2018 年第 4 期 软件技术 收稿日期:2018 -04 -10 作者简介: 乔永凤( 1975-) ,女,山西左云人,讲师,硕士研究生,主要研究方向: 语音信号处理,自动控制原理及其 ...

  5. 电机调速设计并用matlab仿真,基于MATLAB的双闭环调速系统设计与仿真

    名称 基于MATLAB的双闭环调速系统设计与仿真 设计内容和意义 摘要 转速.电流双闭环控制直流调速系统是性能很好.应用最广的直流调速系统.根据晶闸管的特性,通过调节控制角α大小来调节电压.基于设计题 ...

  6. 巴特列特窗的matlab代码,基于MATLAB的FIR数字滤波器设计与优化分析.doc

    您所在位置:网站首页 > 海量文档 &nbsp>&nbsp计算机&nbsp>&nbspmatlab 基于MATLAB的FIR数字滤波器设计与优化分析. ...

  7. matlab火控雷达,基于MATLAB的GUI设计伺服系统仿真软件

    ·2003年 3月 火控雷达技术 第 32卷 j ' . 基于 MATLAB的GUI设计伺服 系统仿真软件 王 唪 李 忱 .. (南京 电子技术研 究所 南京 210013) 一 _ . , ● [ ...

  8. 毕业设计 matlab led,基于Matlab的单相半波可控整流电路的设计与仿真论文和Matlab源码...

    摘 要 电力电子技术是一门诞生和发展于20世纪的崭新技术,在21世纪仍将以迅猛的速度发展.以计算机为核心的信息科学将是21世纪起主导作用的科学技术之一.本次单相半波可控整流电路设计是基于MATLAB的 ...

  9. 混频通信的matlab仿真,基于MATLAB的FHSS通信系统的设计与实现 PPT课件

    <基于MATLAB的FHSS通信系统的设计与实现 PPT课件>由会员分享,可在线阅读,更多相关<基于MATLAB的FHSS通信系统的设计与实现 PPT课件(10页珍藏版)>请在 ...

  10. matlab 机床,基于MATLAB的数控机床控制系统的设计及仿真.PDF

    基于MATLAB的数控机床控制系统的设计及仿真 沈阳工业大学自动化学院 邢俊逸 摘要:为实现高性能的数控机床控制性能,本文通过对数控机床的伺服控 制系统进行分析,并对组成控制系统的元件进行研究建立了比 ...

最新文章

  1. 哔哩哔哩小甲鱼 汇编语言 记录一下 寄存器(内存访问)两个小实验
  2. SQL查询效率注意事项
  3. 打开了悬浮窗权限但是没有_给你的手机添加“樱花雨”特效,打开手机樱花就满屏飘落,漂亮!...
  4. UI自学宝典,完整UI学习线路图
  5. 防止Ddos攻击脚本
  6. uoj #118. 【UR #8】赴京赶考 水题
  7. Java基础知识框图总结
  8. 微信小程序列表切换样式简单案例
  9. 量子计算(三):有哪些机构或公司参与量子计算的研发
  10. 遛狗牵绳AI识别实时监测助力智慧城市
  11. RobotStudio 示教器编程:MoveC指令
  12. 【矩阵论笔记】满秩分解
  13. 左手读红楼梦,右手写 BUG,闲快活
  14. 在微信小程序中实现文字呼吸效果
  15. mysql1310错误_救命啊,MySQL崩溃啦...
  16. 【农历】计算方法--- (阳历转阴历)
  17. HTML+CSS静态页面网页设计作业——2019凡客服装店铺商城(1页) HTML+CSS+JavaScript HTML+CSS大作业_ 服装店铺网页制作作业_购物网页设计...
  18. 10、Horizon RDS远程桌面服务和应用程序池配置
  19. 百度是如何计算出关键词指数呢?
  20. Kali Linux 中报错:E: 您在 /var/cache/apt/archives/ 上没有足够的可用空间 的解决方法。

热门文章

  1. 关于RadASM使用编译资源脚本功能无法找到指定头文件的解决方案
  2. 2022首届(江西)功能性农业农业大健康大会暨中国农民丰收节交易会
  3. JVC DSP调音软件
  4. 2级c语言题库及答案,计算机二级C语言上机题库含答案解析
  5. c语言教程英文版讲义,c语言教程英文版讲义(六)1.pdf
  6. HP 瘦客户机 - 使用 ie4uinit.exe 应用时,Citrix HDX Flash 出现故障和错误
  7. GO语言零基础从入门到精通WEB编程名库讲解全套视频教程
  8. Eclipse主题插件DevStyle修改Eclipse主题
  9. 微信小程序排行榜模板
  10. ofo 深圳 java_[Android进阶]OFO首页实现小窥