一 板卡基本资料
三色灯Led引脚



时钟引脚


拨码开关


时钟约束引脚为U14;三色灯LED引脚为 R:R14 G:Y16 B:Y17
拨码开关用于复位,断开为高,接通为低,采用低电平复位。

二米尔科技led工程
①新建工程



②添加新建verilog文件





添加代码
module led(
i_clk,i_rst,o_led
);
input i_clk,i_rst;//时钟频率50MHz
output[2:0]o_led;
reg[2:0]o_led;
reg[31:0]cnt;
always@(posedge i_clk or negedge i_rst)
begin
if(!i_rst)
begin
o_led<=3’b111;//共阴极接法,赋值为0点亮led
cnt<=0;
end
else
begin
cnt<=cnt+1;
if(cnt<=50_000_000)o_led<=3’b111;
else if(cnt>50_000_000&&cnt<=100_000_000) o_led<=3’b110;
else if(cnt>100_000_000&&cnt<=150_000_000)o_led<=3’b101;
else if(cnt>150_000_000&&cnt<=200_000_000)o_led<=3’b011;
else if(cnt>200_000_000&&cnt<=300_000_000)o_led<=3’b000;
else begin o_led<=3’b111;cnt<=0;end
end
end
endmodule
③添加约束文件



添加约束
set_property PACKAGE_PIN R14 [get_ports {o_led[0]}]
set_property PACKAGE_PIN Y16 [get_ports {o_led[1]}]
set_property PACKAGE_PIN Y17 [get_ports {o_led[2]}]
set_property PACKAGE_PIN U14 [get_ports i_clk]
set_property PACKAGE_PIN R19 [get_ports i_rst]
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports i_clk]
set_property IOSTANDARD LVCMOS33 [get_ports i_rst]
④编译产生bit文件

⑤下载



⑥观察三色灯,红,绿,蓝,依次亮起,最后全亮,再全灭,轮回。说明工程没问题。

米尔科技ZYNQ-7z020学习(1)相关推荐

  1. 米尔科技Zynq pl中断的linux驱动

    一.目标 在米尔科技zynq的开发平台上,通过zynq的按键开关,实现pl中断. 二.分析 原理图 挂在pl端,需要在vivado上进行引脚锁定.配置如下 当然可以通过中断函数操作三色灯,也可以不用管 ...

  2. 米尔科技zynq利用MIO操作LED灯的linux驱动

    一.目标 在米尔科技zynq的z-turn开发板上,通过编写驱动,实现对两盏灯的控制. 二.分析 ①硬件部分 这两盏绿灯位于zynq的MIO0和MIO9上. 有关操作IO口的地址,查找ug585-zy ...

  3. 普中科技开发板使用说明书_百度大脑加持,米尔科技FZ3深度学习计算卡评测

    如果你要问我现在电子产业什么最热,那无疑是AI,而基于大数据训练的深度学习技术可以说是目前AI应用的最广,最成功的产品形态了,覆盖我们生活的方方面面,诸如购物.看病.新闻编辑等,在这高深技术的背后少不 ...

  4. 米尔科技zynq三色灯 linux 驱动

    一.目标 在zynq的randisk操作系统上,通过编写驱动,实现对三色灯的控制.采用的是米尔科技 7z020开发板. 二.分析 1)逻辑分析 三色灯是挂载在PL上,可以通过AXI-GPIO实现ps控 ...

  5. 米尔科技ZYNQ -Linux下的DMA驱动

    一.目标 在米尔科技的z-turn板上实现linux下的DMA驱动,同时对DMA中断进行测试. 二.分析 ZYNQ的AXIDMA有Direct Register Mode和Scatter/Gather ...

  6. 米尔科技Zynq利用EMIO操作三色灯的linux驱动

    一.目标 在米尔科技的zynq的z-turn开发板上利用EMIO操作三色灯亮灭. 二.分析 三色灯是挂载在PL部分的,PS想要操作它可以通过EMIO接口实现. IP配置关键如下 产生顶层文件中有gpi ...

  7. 基于xilinx Zynq UltraScale MPSoC平台的核心板及开发板介绍-米尔科技

    近日,米尔科技推出国内首款基于xilinx Zynq UltraScale+MPSoC 平台的核心板及开发板.其优势主要有:采用16纳米制程,相比Znyq7000系列每瓦性能提升5倍,且单芯片融合4核 ...

  8. 米尔科技MPSoC开发板评测

    米尔科技推出的MYD-CZU3EG开发板搭载的就是UltraScale+ MPSoC平台器件 - XCZU3EG,它集成了四核Cortex-A53 处理器,双核 Cortex-R5 实时处理单元以及M ...

  9. 米尔电子zynq ultrascale+ mpsoc底板外设资源清单分享

    米尔电子推出的国内首款zynq ultrascale+ mpsoc平台核心板(及开发板):MYC-CZU3EG吸引了人工智能.工业控制.嵌入式视觉.ADAS.算法加速.云计算.有线/无线通信等应用行业 ...

最新文章

  1. 判断SIM卡属于哪个移动运营商
  2. 将要改变IT世界的的docker技术是什么?
  3. “程序”二字的五笔字根
  4. 如何让SAP Spartacus ng build生成的JavaScript资源附带上store ID
  5. 【Modern OpenGL】摄像机系统 Camera
  6. Win11系统调节屏幕亮度的方法
  7. Hive导数据到本地文件
  8. 100亿估值的文和友,为何难以“走出”长沙?
  9. vbyone接口引脚定义_USB3.1 Type-C 高速接口设计指南
  10. 我用一张图彻底了解 SpringAOP 切面表达式
  11. 内存核心频率、工作频率,等效频率、预读取技术详解
  12. 计算机acm国际排名,acm(中国大学acm综合排名)
  13. bat 引号 嵌套_边缘检测 使用 OpenCV 和 深度学习 进行整体嵌套边缘检测
  14. 建网站如何选择适合自己的云服务器配置?(详细解答)
  15. Qt动画之鼠标水滴点击效果
  16. Channel 通道详解
  17. LRC歌词原理和实现高仿Android网易云音乐
  18. 数字点播院线三级设备开发总结
  19. 最健脾养胃的8种食物
  20. @Scheduled(cron = * * * * * *) cron表达式详解

热门文章

  1. TypeScript中数组和元祖
  2. OpenBLAS编译和安装简介
  3. 手写简易VueRouter
  4. RegexBuddy正则表达式工具
  5. DefaultCPUAllocator: not enough memory: you tried to allocate
  6. 初识通信安全:对称加密、非对称加密、证书认证
  7. css实现div水平垂直居中:竖排居中,横排居中
  8. Java面试-2021Gaoven-持续更新中
  9. Effective C++ 之《构造/析构/赋值运算》
  10. 关于CentOS下Linux的bash 漏洞,查看和解决的方法