使用Quartus Ⅱ,器件为MAXⅡ——EPM240T100C5

七段显示译码器VHDL语言的代码如下:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
Entity seg7_4 is --工程名为seg7_4PORT ( BCD_in  : IN  STD_LOGIC_VECTOR(3 DOWNTO 0);   --输入四位BCD码SG_out  : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));  --输出七位字形码END;
ARCHITECTURE one OF seg7_4 ISBEGINPROCESS(BCD_in)BEGINCASE  BCD_in  ISWHEN "0000"  => SG_out <= "0111111";  WHEN "0001"  => SG_out <= "0000110"; WHEN "0010"  => SG_out <= "1011011"; WHEN "0011"  => SG_out <= "1001111"; WHEN "0100"  => SG_out <= "1100110";  WHEN "0101"  => SG_out <= "1101101"; WHEN "0110"  => SG_out <= "1111101"; WHEN "0111"  => SG_out <= "0000111";WHEN "1000"  => SG_out <= "1111111";  WHEN "1001"  => SG_out <= "1101111"; WHEN "1010" => SG_out <= "1110111";  WHEN "1011" => SG_out <= "1111100";WHEN "1100" => SG_out <= "0111001"; WHEN "1101" => SG_out <= "1011110"; WHEN "1110" => SG_out <= "1111001";  WHEN "1111" => SG_out <= "1110001";WHEN OTHERS =>  NULL ;END CASE ;END PROCESS;
END;

注意仔细对应SG_out和BCD_in的管脚

编译并下载后,更改BCD_in四个管脚对应的电平,即可显示出0~9、A~F这十六种字符

转载注明出处:https://blog.csdn.net/csyzcyj/

VHDL 七段显示译码器相关推荐

  1. 基于VHDL的层次化设计:异步清零和同步使能4位十六进制加法计数器和七段显示译码器的元件例化实现

    原文:http://blog.csdn.net/Dr_JIA/article/details/45790579 方案一 (本实现方案只编写了一个vhdl文件,计数器和译码器的vhdl描述写在了一个文件 ...

  2. 【连载】 FPGA Verilog HDL 系列实例--------8-3 BCD七段显示译码器

    [连载] FPGA Verilog HDL 系列实例 Verilog HDL 之 8-3 BCD七段显示译码器 一.原理 7段数码管是利用不同发光段组合的方式来显示不同的数码,为了试数码管能将数码所代 ...

  3. 共阴极的七段显示译码器的代码

    module cy4(input LE,BL,LT,//输入端口声明input D3,D2,D1,D0,//输入端口声明output reg a,b,c,d,e,f,g//输出端口及变量的数据类型声明 ...

  4. verilog——74HC4511七段显示译码器

    Verilog--74HC4511七段译码器 74HC4511的仿真 设计思路 采用行为级建模,根据74HC4511的功能表编程即可. 代码实现 设计模块 //filename:74HC4511.v ...

  5. 利用全加器实现7段数码管_显示译码器 || 7段数码管 || 7段LCD || 7448 || 数电

    显示译码器 || 7段数码管 || 7段LCD || 7448 || 数电 1数码显示器件 在介绍显示译码器之前,先介绍一下常用的数码显示器件,可以分为 半导体显示器 液晶显示器 荧光数码管 辉光数码 ...

  6. 十六进制七段LED显示译码器 静态显示

    译码器是一类多输入多输出组合逻辑电路器件,其可以分为变量译码和显示译码两类. 变量译码器一般是一种较少输入变为较多输出的器件,如3-8译码器,显示译码器用来将数值转换成要显示的对应的符号. 任务描述 ...

  7. 七段显示数码管和译码器

    半导体七段显示器的每一段光管都是一个发光二极管.其图示及译码器如下:注:发光管一般有共阴极和共阳极两种类型可供选择.7448显示译码器灭零检查为0且输入为0时进行灭零操作,此时灭零输出为0 .

  8. BCD-七段数码管显示译码器

    目的 1. 进一步掌握VHDL语言的基本结构及设计的输入方法. 2. 掌握BCD-七段显示译码器的设计思路: 内容 1. 使用拨码开关SW3.SW2.SW1.SW0作为四位二进制数据 D.C. B.A ...

  9. FPGA(5)--VHDL--10十进制计数器及7段显示译码器

    文章目录 一.实验目的 二.实验内容 三.实验设计 一.实验目的 掌握一般性计数器的VHDL设计方法,熟悉程序文本和原理图结合方法设计电路.掌握CASE语句的基本使用方法. 二.实验内容 首先用VHD ...

最新文章

  1. 复合的赋值运算符例题_Java千问:关于Java语言复合赋值运算符的两个问题,快来瞧瞧!...
  2. SAP WM LT42创建TO,报错-No entry in Table 329S (NM1 B)-
  3. 三维数组地址计算_科学计算NumPy
  4. 参考文献顺序不对_Endnote插入参考文献的保姆级教程
  5. 高并发IM系统架构优化实践
  6. python之pydev安装
  7. 把汇集多个json文件的txt进行分割,然后批量修改文件名后缀
  8. Linux: I/O多路转接之epoll(有图有代码有真相!!!)
  9. html页面嵌入markdown,html – 在R markdown中嵌入图形输出
  10. Android驱动(1)---Ubuntu中为Android系统上编写Linux内核驱动程序实现方法
  11. 【java】计算 list 中每一行的合计值
  12. 我的第一个Python随笔
  13. mysql安装运行(centos)
  14. 上传文件计算机传输的,电脑文件怎么传输到iPad
  15. python 解析pys文件,并将其写入txt和excel文件
  16. CAN总线技术2--CAN网络控制芯片SJA1000
  17. 用C语言求一元二次方程的解
  18. C++实验六:继承,对BMP图片操作
  19. 2018年十大区块链投资机构盘点-千氪
  20. 【大话设计模式-2】UML 类图的绘制(源码案例分析)

热门文章

  1. MYSQL千万级别数据量迁移Elasticsearch5.6.1实战
  2. 机器学习10—多元线性回归模型
  3. Photoshop文字之——制作逼真的镀金字特效
  4. JDK源码系列:synchronized与wait、notify、notifyAll
  5. 大学生ppt汇报中出现的一些问题及解决
  6. Test ignored.
  7. java+httpclient实现Get、Post接口自动化案例
  8. 印度斯佩格spegra
  9. android registerreceiver() 参数,android-如何使用registerReceiver方法?
  10. 快速幂+等比数列求和取模