要求:

仿真波形:

仿真前:

仿真后:

代码:

module DDD(d,clk,rd,sd,q,nq);
input d,clk,rd,sd;
output q,nq;
reg q,nq;
always @(posedge clk or negedge rd or negedge sd)begin
if(rd==0)beginq<=0;nq<=1;end
else beginif(sd==0)beginq<=1;nq<=0;endelse beginif(d==0)beginq<=0;nq<=1;endelse if(d==1)beginq<=1;nq<=0;end
end
end
end
endmodule

实现一个异步清零和置位的D触发器相关推荐

  1. VHDL设计一个同步置数、异步清零的D触发器

    设计一个同步置数.异步清零的D触发器,其引脚名称和逻辑功能如下表所示. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ddddd IS PORT ...

  2. 同步电路出现异步清零可以吗_异步计数器 || 计数器的分类 ||异步二进制十进制|| 74290 8421 5421 || 数电...

    异步计数器 || 计数器的分类 || 异步 二进制 十进制 || 74290 || 数电 这一节介绍异步二进制计数器. 计数器功能: 计数器是对输入脉冲个数进行计数的时序电路. 计数器除了直接用于计数 ...

  3. 异步清零和同步置数/清零的区别

    同步,异步是对于时钟而言的. 同步指的是,状态的变化需要等待时钟有效沿来触发,所有动作同时跟随这个时钟变化,而异步时,状态变化不依赖与时钟. 异步清零说的是,你需要对一个计数器在满足某种条件时想要他归 ...

  4. 使用D触发器完成带有异步清零clrn和同步使能wen的8位寄存器

    要求: 看到这个题,首先我们应该先考虑D触发器的实现: `timescale 1ns / 1psmodule dffe(input clk,input clrn,wen,input d,output ...

  5. FPGA应用实验设计(二)—异步清零4位二进制计数器

    一.实验目的 1.了解时序电路的 VHDL 语言设计方法. 2.掌握同步计数器的设计方法,设计任意进制的计数器. 二.实验内容 1.用VHDL设计一个上升沿触发.异步清零的4位二进制计数器. 三.实验 ...

  6. 同步置数与异步清零的区别

    同步,异步是对于时钟而言的. 同步指的是,状态的变化需要等待时钟有效沿来触发,所有动作同时跟随这个时钟变化,而异步时,状态变化不依赖与时钟. 异步清零说的是,你需要对一个计数器在满足某种条件时想要他归 ...

  7. VHDL设计一个同步清零的JK触发器

    1.设计一个同步清零的JK触发器,其引脚名称和逻辑功能如下表所示. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY jk IS PORT (clk, ...

  8. 怎么样理解同步清零和异步清零?

    DA专业论坛 »  通用设计 » [求助] 大家是怎么样理解 同步清零和 异步清零的?? 查看完整版本: [求助] 大家是怎么样理解同步清零和异步清零的?? mxflying 2005-4-20 03 ...

  9. HDLBITS笔记23:触发器的基础练习(同步清零、异步清零、复位端、字节使能等)

    题目1: D触发器是一种电路,它存储位并定期更新,位于时钟信号的(通常)正边. D 触发器在使用时钟始终块时由逻辑合成器创建(请参见始终阻止2).D触发器是最简单的"组合逻辑后跟触发器的斑点 ...

最新文章

  1. ASP.NET2.0的multiview和wizard控件
  2. 【转】SAP Fiori Design Guidelines基础篇
  3. qt ui界面加入qsplitter_UI 文件设计与运行机制
  4. elasticsearch存储空间不足导致索引只读,不能创建
  5. dao层如何调用对象_以k8s集群管理为例,大牛教你如何设计优秀项目架构
  6. 冲刺秋招!离拿到心仪Offer你还差什么?
  7. C# 、.NET、ASP.NET MVC积累
  8. RAC Debug开关修改工具
  9. 442.数组中重复的数据
  10. 惠普局域网共享打印机设置_打印机usb转网络?打印机共享怎么设置?怎样设置hp打印机共享器操作方法...
  11. 顺丰快递查询api php,快递查询API接口_快递单号_申通顺丰数据接口 - 极速数据
  12. 八进制数转十进制计算机计算器,八进制转十进制计算器
  13. HDU--2015-TO-2019--假期实在是太无聊了....
  14. 基于docker的test-containers环境百宝箱
  15. STM32学习笔记二、DS18B20单总线上挂载多路采集
  16. Python实现一键生成微信好友头像墙
  17. 利用 edge的朗读功能,写一个python文本转语音的代码
  18. 从零开始的2.5D游戏开发
  19. android获取整体存储空间大小,Android 获取剩余存储空间
  20. 电子商务之数据库分析(二)

热门文章

  1. 这就是Sun ,Java会不会候卖啊?!
  2. 刘德华 -《声音》224Kbps VBR[MP3!]
  3. matlab之灰色关联分析法
  4. 少花钱-贴了2000元膜的经验之谈,曲面屏到底什么膜好
  5. 回流(reflow)和重绘(repaint)
  6. 【使用场景】巧用ip代理解决爬虫运行被封锁被限制的难题
  7. python计算一元二次方程的根
  8. 时区设置 太平洋标准时间
  9. C++不知算法系列之集结常规算法思想
  10. linux自动挂载win共享,在Deepin系统下实现开机自动挂载Windows系统共享盘的方法