在这里提一下,在使用modelsim仿真时,之前出现一个错误导致无法仿真,问题类似于这样:

#         Region: /buff_test/uut/mac_fir1_inst

# ** Error: (vsim-3389) mult.v(53): Port 'sclr' not found in the connected module (7th connection).

#         Region: /buff_test/uut/mult_inst

# ** Fatal: (vsim-3365) mult.v(53): Too many port connections. Expected 6, found 7.

#    Time: 0 ps  Iteration: 0  Instance: /buff_test/uut/mac_fir1_inst File: mult.v

# FATAL ERROR while loading design

在网找到的问题的原因是:

Ip核载生成模块的时候注意不要将模块的名字起成和标准库中一样的,否则仿真的时候会出现类似上面的错误。

于是以为我IP核的名字不应该起mult的,于是改了名字,也改了例化乘法module中和TOP文件连接的接口名字,但是全部都改了之后,依然是相同的错误,最后不得已直接在mult.v中注释掉了53行的代码,结果如下

# ** Warning: (vsim-3017) F:/intel_FPGA/ceshiyong/chenfa.v(53): [TFMPC] - Too few port connections. Expected 5, found 4.
#    Time: 0 ps  Iteration: 0  Instance: /mult_ip_tb/i1/chenfa_inst/altsquare_component File: /build/swbuild/SJ/nightly/17.1std/590/l64/work/modelsim/eda/sim_lib/altera_mf.v
# ** Warning: (vsim-3722) F:/intel_FPGA/ceshiyong/chenfa.v(53): [TFMPC] - Missing connection for port 'aclr'.

问题解决了,虽然有警告但是可以仿真了。

——------------------------------------------------------------------------------------

接下来是仿真细节,如下图:

首先乘法器IP的参数选的是,dataa的平方,然后由流水线,延时一个时钟,有时钟使能信号,其他皆为默认,我在激励文件中写的是在3.21ns,也就是3210ps的时候,乘法器的时钟使能信号,也就是例化模块中的ena信号,由1变为0,此时我们可以看到,虽然en信号变0之前的一个时钟,输入data_n的值已经改变,但是由于输入和输出之间存在一个时钟信号的延时,所以在3.19ns输入的149的输出本该在3.21ns的时候输出22201,但是en信号使能,使输出一直都是148的平方。

这是加入了异步清零洗好aclr,高电平有效,从图中可以看出在输入变为150时,aclr由0变1,一个时钟周期后输出了150^2的值,150之前输出全部为0。

quartusii中LPM_MULT使用的modelsim仿真相关推荐

  1. matlab fbb,[求助]请教如何将modelsim仿真的输出结果导入到matlab中分析

    matlab可以与modelsim联合在线仿真 使用matlab生成激励源,使用modelsim来仿真vhd功能,然后matlab分析结果 贴一点代码吧: fdo文件内容 ############## ...

  2. vivado生成mig_ddr3调试经验分享(一)——modelsim实现对vivado中的MIG ddr3的仿真

    Vivado中的MIG已经集成了modelsim仿真环境,是不是所有IP 都有这个福利呢,不知道哦,没空去验证. 第一步:使用vivado中的MIG IP生成一堆东西 ,这个过程自己百度.或者是ug5 ...

  3. 四位全加器Modelsim仿真及QuartusII下查看RTL原理图

    目录 一.编写四位全加器代码 二.编写四位全加器激励模块代码 三.将代码导入Modelsim进行仿真 1.导入全加器文件和激励模块代码 2.编译 3.仿真 4.运行得到仿真图 四.在QuartusII ...

  4. modelsim仿真中遇到的问题

    1.modelsim经常遇到数据位宽不相等的情况,这样往往仿真时是不会出数据的,如果用parameter定义了数据的位宽, 要注意实际的位宽数大于parameter定义参数能表示的位宽时,如: par ...

  5. QuartusII联合modelsim仿真时调用两个模块如何设置

    在QuartusII中Setting中simulation下添加Test bench如下图所示: 在Test bench中添加需要仿真调用的两个子模块代码如下图所示: 添加完后即可仿真!

  6. ModelSim仿真入门之一:软件介绍

     http://www.cnblogs.com/xd-elegant/p/4093645.html 编写这个教程之前,为了让不同水平阶段的人都能阅读,我尽量做到了零基础入门这个目标,所有的操作步骤 ...

  7. Modelsim仿真使用教程

    最近写了个设计<基于VHDL/Verilog的汉明码编译码器设计> 之前用QuartusII+modelsim联合仿真,没有出现任何问题,后面在别的电脑上也安装了两个软件,结果Quartu ...

  8. Vivado级联Modelsim仿真Re-launch问题

    Vivado级联Modelsim仿真出现修改设计代码后重新run do文件,波形没有随着代码修改而改变,这个问题博主之前没有注意到,因为把Vivado和Modelsim级联好后还没有试过仿真过,不过用 ...

  9. Modelsim仿真如何查看内部信号

    一般Modelsim看的信号波形都是test bench中定义的默认信号.有时候需要看模块内部信号. 可以选择sim窗口,查看internal,一般选择需要查看的信号在Objects窗口出现的信号,根 ...

最新文章

  1. Dapper的基本使用 [转]
  2. sql删除过程的命令是什么_今日份知识分享:SQL 介绍
  3. 利用ASP.NET一般处理程序动态生成Web图像(转)
  4. 七十七、 二叉树的层次遍历和最大深度
  5. C语言创建一个节点Node(附完整源码)
  6. 【数据结构与算法】之深入解析“UTF-8编码验证”的求解思路与算法示例
  7. leetcode 134. 加油站
  8. Web请求中同步与异步的区别
  9. unity3d 随机物体生成器 工具
  10. P1546 最短网络 Agri-Net
  11. Yaffs2根文件系统制作
  12. 致敬F1七冠王!Redmi K50电竞版邀请函曝光 打造掌上梦幻跑车
  13. 美的董事长:数字化每年投几十亿,看不见结果我也焦虑
  14. IO(一)----字节流
  15. ENVI执行监督分类后分类结果背景也被分类了的解决方案
  16. 关于Hindon的ILSVRC 2012经典论文
  17. 04-VUE 常用指令最佳实践
  18. 百度无线音乐盒刷打印服务器,百度无线音乐盒固件
  19. 农村信用社招聘计算机类进去干啥,2008年山东农村信用社招聘考试计算机类的范围有知道的吗?...
  20. [题解]斐波那契数列

热门文章

  1. 宿舍服务器延迟高解决方法(校园网)
  2. 技术美术知识学习3600:纹理压缩
  3. 红米note5手机插u盘没反应_加上扩展坞iPad Pro就能插上翅膀变成生产工具了吗?...
  4. beego 最新版本_优麒麟20.10版本发布--简而美,华而实
  5. arm服务器芯片主板,技嘉展示Ampere Altra ARM服务器的R272-P30主板
  6. 基于SeetaFace+VS2017+Qt的人脸识别
  7. Android手机avi转换为mp4手机,如何把avi转换为mp4
  8. Android 简单的3D动画效果
  9. 入手瑞芯微RK3588
  10. 【69】十一看《天道》有感