寄存器是什么?:

先讲讲基本寄存器:

不出所料,寄存器是用触发器做出来的,拿其中的一个输出当存储就可以了。这里使用了带有带有异步清0端的D.(CLRN就是清零的。注意到那个非了吗?低电平有效)

Clrn=0,当然是清零了。记住了,异步的输入端是不用看clk和ce的脸色的,想清零就清零。想要保持的话,就不能清零(Clrn=1),还不能受外界干扰,Lord(也就是CE)还得是0,把触发器锁住不许你改。想要改的话,CE=1(也就是Lord),ClrN=1(别给我清零了)加上时钟就可以了。En是控制最上面的三态器件的,也就控制了读取。

可以看到,就是用EF控制使用ABCD中的哪一个寄存器,读出数据传给上面,用LdG,LdH控制要不要接受。

一开始的时候X都是0,加上Y就是Y自己,结果送到D那,变成了Q,也就是X回来,又和Y加,结果又回到Q···只要CLK来一回就加一会。

并行加法器需要一个叫超前进位的玄学东西,还不太懂。印象中那个很简单的结构是比较大小的。

接下来讲移位寄存器:

 这个的用处只是加延迟。

每个都能输出,每位都有延迟,这下就有“向右移”的效果了。

这下就可以转转转了,不过有点浪费状态,还需要预制。

现在介绍可以双向移位的:

这个功能的实现非常复杂,可以通过算逻辑式来判断:

这里要注意一下,上面一直没提CLK是因为他到处都是:

节拍的脉冲要比一个CLK要大,否则可能会输不进去,错过时机。

用verilog实现的例子,都很简单:

接下来学计数器:

先介绍异步的:

JK始终是1,所以会一直反转,一有机会就反转。可以看到,Q2反转的机会是Q3的两倍,Q1反转的机会又是Q2的两倍,所以会有8种情况。

现在是同步的:

假如一开始在有效循环内,那当然好,直接开始循环了;假如不在,也不怕,这个是可以自启动的,从110到111最后自动进入循环。

这个是刚才提到过的, 只会一直转转转(环形移位寄存器)。

可以看到,最后面那个(也就是Y0)是0的时候,就会向开头输入一个1,然后就开始不断变化·····

接下来就是用verilog实现的方法:

接下来讲讲节拍发生器:

感觉之前的计数器就是节拍发生器?

可见我们之前看到的环形计数器就是节拍器。

数字逻辑:寄存器与计数器相关推荐

  1. 两片74161实现60进制_数字逻辑题:用74161构成7进制计数器分别采用复位法和置数...

    74161 是四位二进制同步计数器,有数据置入功能.未计数前,将输出QD,QC,QB,QA,置成10 3)按计数增减分:加法计数器,减法计数器,加减法计数器. 7.3.1 异步计数器 一,异步 可以3 ...

  2. 利用oc门或od门实现线与_福师《数字逻辑》在线作业二答案

    福师<数字逻辑>在线作业二 一.单选题: 1. 一个无符号4位权电阻DAC,最低位处的电阻为40KΩ,则最高位处电阻为( ) (满分:2) A. 4KΩ B. 5KΩ C. 10KΩ D. ...

  3. matlab逻辑电路图,基于.matlab的数字逻辑电路仿真.doc

    技术资料 技术资料 共享知识 共享知识 PAGE II XXX 毕业设计(论文) 专 业: 题 目: 作 者 姓 名: 导师及职称: 导师所在单位: 2011年 6 月 15 日 XXX 本科毕业设计 ...

  4. 数字逻辑计算机组成,数字逻辑设计与计算机组成pdf

    数字逻辑设计与计算机组成 内容简介 本书从简单的数字逻辑电路设计基础开始,由浅入深,讲解组合逻辑和时序逻辑电路的设计技术.计算机组成的基本原理和计算机体系结构的相关概念,后深入探讨了现代计算机系统如何 ...

  5. 数字逻辑学习总结-MOOC数字逻辑设计

    目录 ·指令周期 一.数字逻辑基础 1.十进制与原码.反码.补码之间的转换 2.移位 3.操作符 按位与运算 按位或运算 按位异或运算 另外: x|=y; x&=~y 二.逻辑门和逻辑代数 1 ...

  6. 01笔记 数字逻辑基础——逻辑代数基础——基于《数字逻辑基础》陈光梦(第三版)

    一些概念 集成电路分类 ①模拟集成电路,处理连续信号 ②数字集成电路,处理离散信号 数字集成电路分类 逻辑集成电路 储存器 ASIC(Application Specific IC) 特点 信号表示形 ...

  7. 【数字逻辑与EDA技术】verilog HDL语法-期末考试重点总结

    一.相关术语 BST(Boundary Scan Test)边界扫描测试 CAD(Computer Aided Design) 计算机辅助设计 CAE(Computer Aided Engineeri ...

  8. 计算机专业数字逻辑考试题,数字逻辑试卷及答案.doc

    PAGE PAGE 36 武汉大学计算机学院 2006~2007学年第二学期2006级<数字逻辑> 期未考试试卷 A卷 学号 班级 姓名 成绩 一.填空(每空1分,共14分) 1.(21. ...

  9. 计算机组成原理数字逻辑,计算机组成原理数字逻辑.ppt

    文档介绍: 计算机组成原理数字逻辑1数字量和模拟量模拟量:可以在一定范围内取任意实数值的物理量,如:温度.压力.距离和时间等.数字量:在时间上和数量上都是离散的物理量,如:自动生产线上的零件记录量,台 ...

  10. 四川大学计算机学院《数字逻辑(双语)》课程资源汇总

    四川大学计算机学院<数字逻辑(双语)>课程资源汇总 课程资料: 数字电子技术(第10版)英文版答案.zip. 最高分实验报告: 第三章实验报告 实现布尔表达式-四川大学数字逻辑高分实验报告 ...

最新文章

  1. 搜索引擎优化的基本要素
  2. OpenWrite 赞助平台全流程说明
  3. 《算法竞赛进阶指南》打卡-基本算法-AcWing 95. 费解的开关:位运算、枚举、递推
  4. 一台服务器多个网站同端口,多个客户端如何同时连接到服务器上的一个端口,比如80?...
  5. jQuery(三):样式操作
  6. SRX alarm: Autorecovery information needs to be saved
  7. 将字符串和数字合并动态写入
  8. linux 查看led设备,Linux下LedButton设备驱动——详细设计
  9. Java二进制文件示例
  10. sql server 并发_并发问题– SQL Server中的理论和实验
  11. 轻松看懂概率论与图论基础数学知识
  12. 重新复习数据结构-------ArrayList
  13. 温度压力测试软件什么好,温度压力测试_鲁大师温度压力测试多少度正常
  14. C++自动化(模板元)编程基础与应用(4)
  15. 2012服务器更改temp位置,如何更改windows的temp目录位置
  16. 蚂蚁借呗总利息计算——每月等额(等额本息)
  17. hash算法原理详解
  18. 智能手表,不再只是手机品牌的“附属品”
  19. 输出100-200之间所有的素数(素数:只能被1和自己本身整除的数)
  20. POJ 3095 Linear Pachinko 字符串模拟

热门文章

  1. 赋能城市应急系统,推动智慧城市加速落地
  2. 接之前的PDF转换,需求批量PDF导出压缩包
  3. Apache Hudi 数据湖概述
  4. NetScaler的常用配置
  5. 01、顺序表SeqList
  6. 硬件工程师薪资虚高,你认可吗?
  7. 关于使用dct求解零诺依曼边界条件PDE的一点说明
  8. python简笔画蚂蚁_使用python turtle绘制简笔画大白-Go语言中文社区
  9. [转]李开复给女儿的一封信
  10. 僵尸网络:DDoS 及其他