run behavioral simulation
run post-synthesis simulation
run post-synthesis timing simulation
run post-implementation function simulation
run post-implementation timing simulation

运行行为仿真
运行综合后仿真
运行综合后时序仿真
运行实现后的功能仿真
运行实现后时序仿真

RTL ANALYSIS:RTL分析;

Elaboration : 详细描述,将RTL优化到FPGA技术

Open Elaboration Design:

(1):report methodology:运行设计方法检查,以发现当前设计错误

(2):report DRC 运行设计规则检查,并报告检查结果

(3):Report Noise:基于XDC文件,检查sso(同时开关输出)

综合(SYNTHESIS):将RTL级设计描述转换为门级的描述,在该过程中将进行逻辑优化并且映射到Xilinx器件原语(技术映射),器件原语指的是那些门级原语

SYNTHESIS Settings:综合选项参数

RTL行为级仿真:功能仿真

综合后门级仿真:前仿真,输出一个标准网表文件,输出verilog/VHDL网表

时序仿真:后仿真,在设计布局布线后提供一个时序仿真模型

设计实现及分析(Implentation),对设计的逻辑和物理转换,Implentation setting :实现过程中的参数设置

FPGA从上到下各个系统抽象模型依次为:系统级(System)———>>算法级(Alogrithem)————>>寄存器传输级(RTL)————>>逻辑级(Logic)———>>门级(Gate)———>>电路开关级(Switch)

在不同的层次做具体模块的设计所用的方法也有所不同, 在高层次上往往编写一些行为级的模块通过仿真加以验证,其主要目的是系统性能的总体考虑和各模块的指标分配,并非具体电路的实现。

因而综合及其以后的步骤往往不需进行。而当设计的层次比较接近底层时行为描述往往需要用电路逻辑来实现,这时的模块不仅需要通过仿真加以验证,还需进行综合、优化、布线和后仿真。总之具体电路是从底向上逐步实现的。EDA工具往往不仅支持HDL描述也支持电路图输入,有效地利用这两种方法是提高设计效率的办法之一。

下面的流程图简要地说明了模块的编译和测试过程:

VIVADO 中的几种仿真选项以及功能仿真、前仿真、后仿真、时序仿真相关推荐

  1. AXI三种接口及DMA DDR XDMA介绍(应用于vivado中的ip调用)

    一.AXI--高级可扩展接口(UG1037) 参考资源: [SDK篇_58~62_AXI接口简介[Xilinx]+[Vivado]+[AXI4总线]+[FPGA]-哔哩哔哩] 关于AXI握手过程都讲解 ...

  2. Quartus18.1实现D触发器及时序仿真

    目录 一.什么是D触发器 二.门电路设计D触发器并仿真 1.创建工程 2.设计电路原理图文件 3.编译 4.仿真波形图 三.调用D触发器实现并仿真 1.创建工程 2.新建电路原理图文件 3.编译 4. ...

  3. 全数字实时仿真平台SkyEye与SystemC集成进行时序仿真

    SkyEye与SystemC集成进行时序仿真 仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确,及在设计实现过程中各种分布参数引入后,其设计的功能是否依然正确无误.时序仿真使用布局布 ...

  4. EDA-功能仿真和时序仿真有什么区别?

    答: 功能仿真.直接对HDL,原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求的过程. 功能仿真不涉及具体器件的硬件特性. 时序仿真.在选择具体器件并完成布局布线 ...

  5. 如何使用Synopsys VCS在Vivado中编译库并执行仿真

    本文首发于hifpga.com vivado中的仿真库和模型与ISE中的是不一样的,因此在vivado中使用VCS进行仿真的方法也与ISE中不一样. VCS可以通过两种方法对XILINX的器件进行功能 ...

  6. 基于FPGA的DDS在Vivado中仿真以及在ZYNQ7020上板的实现(2)

    接上一节,从仿真文件的编写开始 (三)仿真文件testbench 1)首先先点击add source 2)建立 simulation source文件 3)点击create file 4)给文件命名 ...

  7. vivado中FIFO IP核的Standard FIFO和First-word-Fall-Through模式的仿真比较

    1.Standard FIFO与First-word-Fall-Through(简称FWFT) 在vivado中例化fifo的IP核的时候,在native ports部分有两种模式可以选择,如下图所示 ...

  8. 基于FPGA的DDS在Vivado中仿真以及在ZYNQ7020上板的实现(1)

    本系列文章详细介绍了DDS在Vivado中的仿真实现.综合.布线.生成bit流以及上板的过程. (一)初始配置 1)先下载Vivado软件,本人使用的是2019.1版本的Vivado,使用其他版本Vi ...

  9. Vivado中两种RTL原理图的查看方法和区别

    当你完成了一个设计之后,查看原理图,可以了解工具如何将你的代码转换成了电路.方便你铆足了劲死磕一个小小的加法器来优化 200ps 的关键路径. 当你要查看一个开源设计,查看原理图,可以快速掌握项目设计 ...

最新文章

  1. 【Sql Server】DateBase-自动化
  2. 07/11/13 资料整理
  3. 《算法设计编程实验:大学程序设计课程与竞赛训练教材》——2.3 构造法模拟的实验范例...
  4. dns网络服务的搭建和配置
  5. 二叉树的基本操作_二叉树的遍历
  6. 云南无线数字电视文化传媒股份有限公司签约神策,数据赋能 IPTV
  7. 信通院发布《5G经济社会影响白皮书》:10年内带动16.9万亿总产出
  8. 《剑指offer》面试题15—输出链表中倒数第n个结点
  9. python去重排序_python文本去重并排序
  10. MyEclipse 10.5与ExtJS 4.1.1自动代码提示
  11. Springboot--Ehcache-Jpa (1)
  12. 快速打开ofd文件发票转换pdf格式发票方法
  13. python计算样本方差_Python numpy 样本方差估计
  14. 拔掉MacBookPro,用8GB树莓派4工作一天,体验原来是这样的
  15. MySQL基础学习笔记——关于KEY的说明
  16. Python 算法设计与分析 投资问题
  17. tcl/tk sample.dll cannot be unloaded under a trusted interpreter
  18. 低代码和无代码,完全是两回事
  19. 乐高拉力机器人图纸_乐高MOC图纸分享:乐高MINI机器人制作教程(图文版)
  20. Redis源码剖析和注释(十六)---- Redis输入输出的抽象(rio)

热门文章

  1. 解谜游戏-STEAM中最杂乱的游戏标签
  2. C# 错误代码为 0xc0000005。
  3. 变量存储重温与Clion编辑器的学习与实践
  4. Linux VPS一键添加/删除Swap虚拟内存
  5. redis清除缓存命令 linux
  6. python 矩阵类型转换_python矩阵中float转int
  7. 《天外世界》:黑曜石释放创意的全新豁口
  8. python如何去除中文标点符号_python中怎么去掉标点符号
  9. Java提取字符串中的数字和小数
  10. “拼多多优惠券”测试的套路,今天让你秒懂