自旋转移扭矩磁阻随机存取存储器(STT-MRAM)是一种持久性存储技术,可利用各种工业标准接口提供性能,持久性和耐用性。 Everspin推出了STT-MRAM产品,该产品利用称为JE-DDR4的JEDEC标准DDR4接口的变体,它包含了对完整系统支持所需的独特功能。本文将帮助工程师了解Xilinx FPGA控制器的Everspin STT-DDR4设计指南

2.启用ST-DDR4
为了使设计人员能够快速集成ST-DDR4支持,该过程从Xilinx Vivado开发环境中生成的现有8Gb DDR4 SDRAM-2666存储器接口生成器(MIG)开始。与8Gb DDR4 SDRAM的差异如下,并将在后续章节中进行说明:
1.时间安排(减少工作频率,增加行访问时间,增加计数器宽度并减小CAS页面大小)
2.加电(校准–校准期间启用了防乱涂模式)
3.掉电(将所有相关数据塞入或移动到持久性存储器阵列中)
4.性能(增加管道深度并提高数据传输效率)
注意:健壮的ST-DDR4持久性存储器设计还需要系统级的纠错码(ECC)方案,但该文档不在本文范围之内。

3.DDR4 SDRAM-1333内存接口
在Xilinx设计环境中,将根据代表8Gb SDRAM DDR4-2666的速度和时序特性的输入参数生成DDR4接口逻辑。

该表显示了DDR4和ST-DDR4的关键时序参数

由于MIG无法使用当前JEDEC标准以外的参数创建接口逻辑,因此必须首先创建兼容JEDEC的DDR4控制器。 everspin 1Gb ST-DDR4 1333器件最类似于8Gb DDR4-2666 SDRAM器件,因此请使用8Gb DDR4 SDRAM 2666规格SDRAM DDR4-2666中的时序值,一旦创建了DDR4接口逻辑,就可以修改时序,上电,掉电和性能参数,以启用ST-DDR4持久性存储器。

强烈建议在创建MIG之后,在Vivado中创建一个示例测试台,方法是右键单击.xci文件并选择名为“ Open IP Example Design …”的菜单项。创建示例设计将创建一个新的Vivado项目。以及模拟新创建的MIG所需的所有测试文件。

Xilinx FPGA控制器的Everspin STT-DDR4设计指南相关推荐

  1. 基于xilinx fpga的ofdm通信系统基带设计_仪器设备研制 | 基于AD9361的雷达干扰信号模拟器设计...

    点击蓝字关注我们 基于AD9361的雷达干扰信号 模拟器设计 Design of radar jamming signal simulator based on AD9361 作者单位 罗勇江,杨腾飞 ...

  2. 基于xilinx fpga的ofdm通信系统基带设计_基于嵌入式Wi-Fi处理器的无线系统设计...

    0 引 言 随着物联网技术的发展,众多的嵌入式系统均有增加Wi-Fi特性的需求,比如利用智能手机内建的Wi-Fi来遥控各种嵌入式设备,使支持机器对机器的通信(M2M)具有物联网通讯功能的嵌入式网络解决 ...

  3. Xilinx FPGA提供DDR4内存接口解决方案

    Xilinx 提供了UltraScale FPGA器件的高性能DDR4内存解决方案,每秒数据速率高达2400 Mb.UltraScale器件采用ASIC级架构,可支持大量I/O和超大存储带宽,并能够大 ...

  4. Xilinx FPGA单端时钟设计方法

    1.1 Xilinx FPGA单端时钟设计方法 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Xilinx FPGA单端时钟设计方法: 5)结束语. 1.1.2 本节引 ...

  5. Xilinx FPGA差分时钟转单端时钟设计

    1.1 Xilinx FPGA差分时钟转单端时钟设计 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Xilinx FPGA差分时钟转单端时钟设计: 5)结束语. 1.1 ...

  6. 谈谈Xilinx FPGA设计的实现过程

    绪论 FPGA编译流程是指将一个FPGA设计从普通RTL描述转换为比特流所需要的一系列步骤.编译流程的顺序会有所不同,这取决于所使用的工具.然而,任何Xilinx FPGA的编译都将包含8个基本步骤: ...

  7. Xilinx FPGA上电时序分析与设计

    Xilinx FPGA上电时序分析与设计 由 技术编辑 于 星期五, 11/29/2013 - 13:24 发表    http://xilinx.eetrend.com/article/6102 摘 ...

  8. 2021-03-18新书《Xilinx FPGA数字信号处理设计——基础版》已上市

    特别说明:杜勇老师的新书<Xilinx FPGA数字信号处理设计--基础版>已上市,亲们可在各售书网站选购.新书的配套板载程序均可直接在CXD301平台上验证.凡购买CXD301开发板的亲 ...

  9. XILINX FPGA VAVADO设计要点

    XILINX FPGA VAVADO设计要点 一.Timing constraints 分离LOC约束与timing 约束 1.[使用多个XDC约束文件]使用单个约束文件看起来是方便的,但是在设计变得 ...

最新文章

  1. WebAPI增加Area以支持无限层级同名Controller
  2. linux分析字节序的分类及特点,计算机中的字节序详解 分类: 【Linux/Windows操作系统】 2015-01-07 21:54 97人阅读 评论(0) 收藏...
  3. Tomcat使用shutdown.bat关闭会将其他Tomcat关掉的问题
  4. html中表单元素_HTML中的表单元素
  5. 好程序员前端分享使用JS开发简单的音乐播放器
  6. 读光OCR-文字识别技术解读与应用案例分析
  7. 非华为电脑实现多屏协同、一碰传
  8. 2017数学建模B题回顾与解题分享
  9. ubuntu MeshLab安装
  10. python背离点的判断
  11. 24小时“凶宅试睡直播”去“凶”,阿里拍卖为卖凶宅有点拼
  12. 互联网+废品回收小程序,废品回收小程序,废品回收小程序平台,蚂蚁废收小程序
  13. 我他妈的是什么!!!!
  14. OpenCV读取图片和保存图片全黑
  15. 当因为上传文件过大导致上传失败时
  16. C++ QT中国象棋项目讲解(四) 简单的人机对战
  17. 实验十二 团队项目用户验收评审
  18. 一文尽览 | 基于点云、多模态的3D目标检测算法综述!(Point/Voxel/Point-Voxel)
  19. matlab出图时汉字都变成方框_汉字显示成方框的问题
  20. 富兰克林自传-读书记

热门文章

  1. css 伪类选择器(链接伪类选择器、结构伪类选择器、目标伪类选择器)
  2. Xshell + WinSCP 下载教程
  3. 如何利用百度好看视频优化来做关键词排名?
  4. Fluentd学习笔记
  5. 拆书笔记3|让听得见炮声的人来决策
  6. C++11花括号初始化
  7. 生活常识之社保篇(面对生活,居安思危,危则有备,有备无患)//2021-1-26
  8. pycharm设置Python Interpreter
  9. 互联网晚报 | 1/31 星期二 |​ 四川回应生育登记取消结婚限制;光线传媒股价大跌;LVMH老板放狠话坚决打击代购行为...
  10. 5 年工作经验,容器与 Pod 的区别和联系都说不清,你敢信?