原(http://www.cnblogs.com/zisou/p/cocos2d-xZhuanpan.html)

博彩大转盘,转盘抽奖的小系统,这是一个很有意思的游戏模块,游戏中增加这样一些趣味的小模块,我会附上源码;

会增进玩家的粘性,每天都想来抽两把试试手气;

我做的这个是个矩形风格的转盘,不是那种圆形的转盘,但是原理是相差不多的;

首先准备一些素材,如:奖品,转盘格子背景,开始按钮等等....

接下来,我想把这个转盘系统单独做在一个class文件夹中,以后可插拔的方便接入任何游戏,建了一个文件夹ZhuanPanSystem;

说一下大概的制作思路,首先是需要格子,来组成一个矩形矩阵,长和宽根据自己需求自己去设置,中间有一个按钮,点了之后,格子

就会变背景,并且循环跑动在矩形格子上,最终根据加速度从快到慢,减速下来停在哪个格子上,便获取到该格子上的奖励;

ok思路一定,看下代码如何实现;

格子:

//创建一个矩阵格子阵boxgezi = CCArray::create();int bid = 0;for (int i = 0; i < 4; i++){for (int j = 0; j <=5; j++){Zp_BoxData* thisbox = new Zp_BoxData();thisbox->set_boxid(bid);thisbox->set_boxReward(getReward(bid%6));if(bid==4){thisbox->set_xuanzhong(true);}else{thisbox->set_xuanzhong(false);}thisbox->set_tag(bid);switch (i){case 0:thisbox->set_point(ccp(55+gezi_w*j,allbd_h-30));boxgezi->addObject(thisbox);break;case 1:if(j<=2){thisbox->set_point(ccp(55+gezi_w*5,allbd_h-30-gezi_h-gezi_h*j));boxgezi->addObject(thisbox);}break;case 2:thisbox->set_point(ccp(55+gezi_w*5-gezi_w*j,30));boxgezi->addObject(thisbox);break;case 3:if(j<=2){thisbox->set_point(ccp(55,30+gezi_h+gezi_h*j));boxgezi->addObject(thisbox);}break;default:break;}bid++;}}vector<int> maua ;for (int i = 0; i < boxgezi->count(); i++){Zp_BoxData* bdata = (Zp_BoxData*)boxgezi->objectAtIndex(i);Gzi* gz = new Gzi(allbd,bdata);maua.push_back(bdata->get_boxid());}

以上就是利用Gzi类创建出来的一个矩形范围的矩阵转盘,有了矩形转盘,还需要游戏中的点击开始以后,循环转动的效果;

用了一个递归去循环去跑一个加速度的效果的方法:

void TurntableSystem::runTurntableGet(float time)
{this->schedule(schedule_selector(TurntableSystem::runAct), time);
}void TurntableSystem::runAct(float time)
{vector<int> gezi_l = GlobalInfo::getInstance()->get_gizilist();//做事儿if(gezi_l.size()>0){if(fnum>gezi_l.size()-1){fnum = 0;}int bid = gezi_l.at(fnum);changeBox(bid,true);//再把上一个变回来int lastnum = fnum-1;if(lastnum<0){lastnum=gezi_l.size()-1;}int lastid = gezi_l.at(lastnum);changeBox(lastid,false);fnum++;}runnum++;this->unschedule(schedule_selector(TurntableSystem::runAct)); CCLOG("------%f----times=%d-",time,runnum);if(runnum<25){float nexttime =  time+runnum*0.01f;if(nexttime>=1.5f){nexttime=1.5f;}this->schedule(schedule_selector(TurntableSystem::runAct),nexttime);}
}

这边我是启动了一个定时器去实现这个递归加速的方法,里面的25目前是固定的跑25格必定停下!!!
以下就牵扯到随机数概率获取奖品的问题了,那么根据咱们策划给的方案,每个格子的概率对应的格子数,和步数

去set这个值就可以了,剩下的工作就很简单了,只需增加随机概率就可以了;

下面我帖一下跑起来的效果图:

开始后循环跑动;

源码及素材下载资源地址:

百度云盘:http://pan.baidu.com/share/link?shareid=3443771417&uk=4097703620&third=15

cocos 水果机,老Tiger虎机流水灯,博彩大转盘效果相关推荐

  1. FB社交游戏2011盘点:街机游戏、拼字游戏、博彩游戏排行前三

    2011年,Facebook上的游戏类型区域多样化,诸如寻宝游戏.赛车游戏.和即时多人战略游戏等游戏类型纷纷登陆Facebook.那么,今年推出的游戏中哪些类型的表现更好呢?通过AppData的数据, ...

  2. 基于FPGA的花样流水灯

    今天一个学弟来问我关于状态机的事,我就给他讲了下经典的三段式状态机,然后就让他试着用状态机去点亮几个LED灯. 状态一:让所有的灯全部熄灭:状态二:全部的灯按照奇偶位的来进行闪烁:状态三:全部的灯从左 ...

  3. 微型计算机流水灯实验报告,广东海洋大学微型计算机基础流水灯左移右移实验报告.docx...

    实验报告 课程名称: 微型计算机基础 实验项目名称:流水灯左移右移实验 时间: 2014-11-05 班级:软件 x 班 姓名: xxx 学号: xxxxxxxx 实验一:流水灯左移右移实验 实验目的 ...

  4. 零基础无实物一步一步学PLCS7-1200仿真(九)-八位流水灯/跑马灯

    项目要求:按键配合实现8位LED的流水灯/跑马灯功能. 项目目的:学习移位和循环的指令. 项目功能:设置启动.停止按钮和8个圆形的LED灯.按下启动按钮后,8个LED灯按照流水灯或者跑马灯的规则循环显 ...

  5. 【Proteus仿真】Arduino UNO花样流水灯

    [Proteus仿真]Arduino UNO花样流水灯 Proteus仿真 程序代码 //------------------------------------------------------- ...

  6. 老-虎-机等转动图片思路

    看了这个标题,围观群众对今天讲解的话题表示不知,好吧,如果列位爱卿见过网站上那些倒计时的应用以及老-虎 机(也叫拉霸,苹果机等,whatever...)(也叫拉霸,苹果机等,whatever...)游 ...

  7. 抽奖动画 - lao虎机抽奖

    本文介绍一个lao虎机抽奖动画的实现,lao虎机抽奖在各类商家营销活动中非常常见,这里主要介绍动画的实现过程,其他细节不做详细分析. ps:lao虎机是敏感词,博客园的富文本和markdown编辑器都 ...

  8. 抽奖动画 - lao虎机抽奖,手把手教你做一个抽奖机软件

    本文介绍一个lao虎机抽奖动画的实现,lao虎机抽奖在各类商家营销活动中非常常见,这里主要介绍动画的实现过程,其他细节不做详细分析. 1. 需求 UI给到的蓝湖如下截图1 图1 三栏图片,每栏图片是一 ...

  9. android6.0 1g运存,全新安卓系统只要1G运存就能流畅运行!低端机 老手机有救了!...

    原标题:全新安卓系统只要1G运存就能流畅运行!低端机 老手机有救了! 安卓发展了也近十年了,最大的特点就是开放,这个毋庸置疑,还有一个特点... 那就是吃配置,在11-15年,尤为明显,大家都是在宣传 ...

最新文章

  1. 从一个数组中寻找出现奇数次的数字
  2. 上证50ETF申赎清单
  3. winform 64位系统中使用
  4. 【南邮操作系统实验】页面置换算法(FIFO、LRU、OPT) Python 版
  5. 从0开始写JavaWeb框架系列(1)从0开始写SamrtFrameWork:读取配置文件
  6. Baxter学习笔记
  7. charles 的安装和手机配置 (我用的win7系统 ,和 iphone8 的配置)
  8. Java实现简单计算器功能
  9. 贝叶斯神经网络 BNN
  10. 游记_秦皇岛-北戴河两日游
  11. 微信公众号历史消息栏设置
  12. MatrikonOPC与西门子S7300系列PLC以太网通讯
  13. vue集合离线百度地图
  14. 绘画板 java_非常值得学习的java 绘图板源代码
  15. print log trace (I forgot it )
  16. webpy中session的使用
  17. 计算机硬件的应用,计算机硬件技术应用研究
  18. 内容为王外链为皇,那内链是什么?应该怎么加?
  19. NFC读取写入简单使用
  20. vue-router路由模式详解

热门文章

  1. 像素深度 与 图像深度
  2. 分布式事务之Seata常见异常
  3. MyBatis 分页插件 PageHelper:是如何拦截SQL进行分页
  4. 网络编程socket之listen函数
  5. 如何免费将PDF转换为Excel格式
  6. Skynet搭建的棋牌服务器实现部分Lua业务热更新
  7. java exce对象清空,Excel VBA:清除数据透视表中的项目
  8. 同城CP群是怎么赚钱的?寂寞的人们来买单!
  9. 中国糖尿病诊断市场深度研究分析报告
  10. 施耐德电气护航中国首台9.4T核磁共振成像系统稳定运行