目录

  • 反相器的Verilog实现及仿真验证
  • VCS+DVE
  • VCS+Verdi
  • 参考致谢

反相器的Verilog实现及仿真验证

inv.v

// inv design
module inv(A,Y);
input   A;
output  Y;assign Y=~A;endmodule

inv_tb.v

`timescale 1ns/100ps// ---- testbench of inv ----module inv_tb;
reg     aa;
wire    yy;inv     inv(.A(aa),.Y(yy));//输出vcd格式的波形文件,使VCS软件能够查看
initial
begin$dumpfile("inv_tb.vcd");  //波形文件名$dumpvars(0,inv);
endinitial beginaa<=0;#10     aa<=1;#10     aa<=0;#10     aa<=1;#10     aa<=0;#10     $stop;
endendmodule

VCS+DVE

运行VCS生成验证波形时:

vcs inv_tb.v inv.v -R -timescale=1ns/10ps +v2k +define+RTL_SAIF

通用如下:

vcs *.v -R -timescale=1ns/10ps +v2k +define+RTL_SAIF

报错如下:

error: gnu/stubs-32.h: No such file or directory

发现为64位机器缺少编译32位文件的包,添加以下包后解决

sudo yum install -y glibc-static-2.17-325.el7_9.i686
sudo yum install -y glibc-devel-2.17-325.el7_9.i686

再次输入命令vcs inv_tb.v inv.v -R -timescale=1ns/10ps +v2k +define+RTL_SAIF,成功编译、仿真,输入run进行仿真(ucli% run
再用vde查看波形,输入命令:($等同于Ctrl + Z)

vde& # 加上&可以把软件挂后台,也可以Control+Z实现

在打开的vde软件中File->Open Database找到刚刚生成的*.vcd文件,再用找到查看的器件->右键->Add To Waves -> New Wave View,即可看到以下的波形

VCS+Verdi

待补充

参考致谢

简易VCS使用【2】

Verilog学习脚印1-组合逻辑-反相器相关推荐

  1. Verilog学习脚印4-状态机(串口)

    Verilog学习脚印4-状态机(串口) 附:verilog语法笔记(持续更新ing) 目录 bash命令 串口协议简介(来自B站-北交李金城老师的PPT,侵删) 实例1:串口数据接收 电路原理(来自 ...

  2. Verilog学习脚印2-时序逻辑

    Verilog学习脚印2-时序逻辑 附:verilog语法笔记(持续更新ing) 目录 触发器基础 bash命令 实例1:计数器 电路原理(来自B站-北交李金城老师的PPT,侵删) 代码实现与验证 实 ...

  3. Verilog学习脚印3-简单状态机(三角波)

    Verilog学习脚印3-简单状态机(三角波) 附:verilog语法笔记(持续更新ing) 目录 bash命令 实例1:三角波发生器 电路原理(来自B站-北交李金城老师的PPT,侵删) 代码实现与验 ...

  4. Verilog学习笔记——入门

    Verilog学习笔记 01 基本逻辑门代码设计与仿真 Veriog基本逻辑门代码结构--以一位反相器为例 ModelSim仿真基本流程 02 组合逻辑代码设计与仿真--多路选择器 二选一逻辑--as ...

  5. verilog学习|《Verilog数字系统设计教程》夏宇闻 第三版思考题答案(第十四章)

    <Verilog数字系统设计教程>夏宇闻 第三版思考题 答案合集 : Verilog学习系列 第三部分 1.用带电平敏感列表触发条件的always 块表示组合逻辑时,应该用哪一种赋值?   ...

  6. verilog学习:加法器

    前言 之前的文章<verilog学习:使用VCS仿真验证一个全加器>,示范了下全加器,这篇文章就展开说一下加法器. 参考的视频有: [老邱数电]半加器与全加器基础 单片机功能这么强,为什么 ...

  7. Verilog学习笔记

    Verilog学习笔记 本文根据学习菜鸟教程下Verilog教程总结得到,主要记载一些硬件语言描述下的骚操作,仅供学习. 归约操作符 归约操作符包括:归约与(&),归约与非( ~ &) ...

  8. python绘制如下图形、小三角形边长20_OpenGL学习脚印_ 绘制移动三角形 - 王定桥的专栏.pdf...

    OpenGL学习脚印_ 绘制移动三角形 - 王定桥的专栏 2015/7/20 OpenGL学习脚印: 绘制移动三角形 ­ 王定桥的专栏 ­ 博客频道 ­ CSDN.NET 登录 | 注册 王定桥的专栏 ...

  9. Verilog学习笔记-——Verilog模块例化

    Verilog学习笔记---Verilog模块例化 在一个模块中引用另一个模块,对其端口进行相关连接,叫做模块例化.模块例化建立了描述的层次.信号端口可以通过位置或名称关联,端口连接也必须遵循一些规则 ...

最新文章

  1. python自动开发之(算法)第二十七天
  2. Linux命令:MySQL系列之十--MySQL用户和权限管理,mysql管理员密码重置
  3. 31.return和call的区别
  4. 听易中天品三国---看孔融,杨修之死
  5. Android --- 数据库存储的是正确的时间格式(2021-06-17 21:47:23)但是在获取的时候变成了(2021-06-17T13:47:23.000+00:00)
  6. javascript - 封装原生js实现ajax
  7. java8四大核心函数式接口(模拟实现,全网最通俗易懂)
  8. Java中try catch finally语句中含有return语句的执行情况
  9. ICE提纲之demo/IceStorm/clock(发布者/订阅者)
  10. 专科计算机组成原理大一试题及答案,计算机组成原理专科试题答案.doc
  11. grub的概念,简单描述一下
  12. java读流方式,下载网络上的图片
  13. 解决在IDEA 的Maven下 出现 Cannot access in offline mode 问题
  14. python爬取教务系统_python 爬取 强智科技教务系统(湖南)
  15. js:聚焦和失焦事件示例
  16. 《痞子衡嵌入式半月刊》 第 63 期
  17. python supervisor 检测代码变动重启_supervisor更改某项目配置后 需要重新启动才有效...
  18. 收集到一些关于python的文章,存起来慢慢看。。。
  19. 阿里云凌晨回应故障:已全部恢复 将尽快赔偿
  20. 怎样看服务器是什么操作系统,如何看服务器是什么操作系统

热门文章

  1. 川农《国际私法(本科)》21年12月作业考核
  2. ssm框架连接mysql数据库的具体步骤_ssm框架搭建和整合流程
  3. 常用标点符号用法简表
  4. Java生鲜电商平台-积分,优惠券,会员折扣,签到、预售、拼团、砍价、秒杀及抽奖等促销模块架构设计...
  5. python排序大全
  6. Shell函数知识点大全
  7. 怎么取消苹果手机自动续费_手机上优酷会员怎么取消自动续费
  8. 蓝牙耳机怎么选?商城高性价比蓝牙耳机推荐,百款蓝牙耳机前五名
  9. 一步一步教会你JAVA中调用C++
  10. 刚踏入职场的程序员(2年以内初级程序员)如何快速踏实地提升自己的能力