• 翻一本数电书时,无意发现利用maxplus2原理图来仿真设计,唤起了在上数电实验课时的一个设想,利用quartus仿真时序电路的波形图,这样更加直观清晰(不用我手画了)。我们数电课上只教了利用VHDL仿真波形,由于我代码是抄别人的,到现在只记得一些大概的流程,我现在桌面文件夹里还保存着当时的代码。在我翻了基本相关数电EDA设计之类的书之后,我又发现一个问题,他们给的设计大多雷同且复杂,上来就是数字钟啥啥的,非常不实用。我只想看看数电里简单题目的波形还不想去搞复杂模块的设计,没办法我只能撸起袖子一个个模块去自己仿真写了。其中大部分例子来自于相关的数电慕课或者我借的参考书,为了核对波形正确与否。

  • 我先装了maxplus2,惊喜的发现里面包含了基本上所有的74系列逻辑芯片,而且仿真操作和quartus惊人的类似。查阅相关资料发现maxplus后Altera推出了quartus,那么quatus应该比maxplus操作更先进,更顺手才对。继续查资料发现quartus9.1版本自带了仿真器而以后的版本需要调用modelsim10,虽然我也装了modelsim10而且它更加先进,但怕麻烦我还是先装了quartus9.1版本(指路公众号软件安装管家发送quartus即可)

  • 对着书一步步操作,首先新建一个工程,这和写VHDL时类似。没有板子器件随便填一个。但新建文件是Design Files|Block Diagram,在图纸上连接完器件后进行编译(紫色箭头)。

  • 仿真是新建波形文件Vector Wave Form,选择查看节点Insert Node,基本操作和仿VHDL类似

  • 选择quartus的另一个原因是产生波形比multisim方便,当初找multisim的波形发生器就找老半天了

quartus仿真系列0:基于原理图仿真的基本操作相关推荐

  1. modelsim仿真系列之基于ISE的独立进行后仿真(三)

    绪论 关于modelsim进行独立仿真Xilinx的IP核,我前边博客已经详细说明,不知道的可以看去看看有基础了再往下看. 我把自己的一个时钟倍频和时序仿真工程放在这里: 例子 第一步 ISE管脚约束 ...

  2. modelsim仿真系列之基于ISE的IP核的独立仿真(二)

    第一步 编译Xilinx的IP核 在开始菜单Xilinx文件夹中选择Simulation Library Compilation这个工具:仿真器编译工具,如下图1所示, 图1: 打开仿真器编译工具后, ...

  3. 计算机仿真技术生物,基于计算机仿真技术的人体生理特性和病理机制研究

    前 言 对人体的生理功能进行计算机模拟,借助于计算机仿真技术研究人体的生理特性和病理机制,是 目前 国内外生物医学工程领域的一个研究方向.对人体血液循环系统( human blood circulat ...

  4. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  5. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  6. 基于51单片机数字电压表的设计 仿真、程序、原理图(转发)

    摘 要 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示.而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编 ...

  7. ## ***电池SOC仿真系列-基于扩展卡尔曼(EKF)算法的SOC估计(内含代码等资料)***

    ## ***电池SOC仿真系列-基于扩展卡尔曼(EKF)算法的SOC估计(内含代码等资料)*** ## 1 研究背景 电池的荷电状态(SOC)代表的是电池当前的剩余容量,数值定义是电池剩余电量与电池额 ...

  8. 41基于单片机列车烟雾浓度报警烟雾_甲烷报警装置设计(原理图+仿真工程+源代码+说明书+PPT)

    41-基于单片机列车烟雾浓度报警/烟雾/甲烷报警装置设计(原理图+仿真工程+源代码+说明书+PPT) 文章目录 41-基于单片机列车烟雾浓度报警/烟雾/甲烷报警装置设计(原理图+仿真工程+源代码+说明 ...

  9. 【毕业设计】基于51单片机的智能窗帘设计(原理图+原理图+仿真+论文)

    按键1:加(手动开启窗帘 按键2:减(手动关闭窗帘) 按键3:进入定时模式开启时间和光控阈值数值大小的开启 按键4:进入当前时间的设置(年.月.日.时.分的设置) 按键5:切换模式(在手动模式.定时模 ...

最新文章

  1. 2022-2028年中国重卡行业投资分析及前景预测报告
  2. 甲骨文:正在从SAP手中赢得应用产品市场份额
  3. mysql常用表名大全_MySQL常用命令大全
  4. prometheus+node_exporter+grafana实践
  5. isinfinite_Java Double类isInfinite()方法与示例
  6. 【牛客 - 157E】青蛙(floyd最短路,建图)
  7. 170821-关于SpringMVC的知识点
  8. python画两条曲线图_python绘制多个曲线的折线图
  9. Windows7启动信息修改
  10. [php基础]Mysql日期函数:日期时间格式转换函数详解
  11. 绝大多数人努力程度之低,根本轮不上拼天赋
  12. 怎么跳伞_和平精英跳伞怎么快速落地 和平精英跳伞技巧琵琶网
  13. 【洛谷P1801】黑匣子_NOI导刊2010提高(06)(权值线段树裸题+模拟)
  14. 网易微专业Android实战教程
  15. PC版微信加密图片解密思路与代码实现_Python
  16. android switch 字体颜色,Switch的简单设置颜色
  17. pd调节规律_PD 控制规律的特点是具有超前控制功能。( )
  18. 软渲染器(Directx11)三之世界矩阵,相机变换矩阵,透视投影矩阵,透视除法,视口变换矩阵
  19. 责任链模式:“张三为了纪念王二请假的悲催经历想出来的一种设计模式”
  20. 数据恢复软件在苹果电脑上的应用

热门文章

  1. c++——vc++中的六种Runtime Library的类型
  2. win10安装sql Server2016与ssms可视化
  3. angularjs 客户端拍照图片选择、压缩、水印、上传
  4. 从 NetFx3.cab 安装.net 3.5
  5. 【Http协议】Http协议简介
  6. 2017北京世界食品博览会会刊(参展商名录)
  7. CVE-2020-14644 weblogic iiop反序列化漏洞分析
  8. 送5本《Kafka权威指南》第二版
  9. 遗传算法优化计算——建模自变量降维Matlab
  10. Android多模块开发注意