在idea中导入项目后,每次都因为配置发愁,大多是lib包引入问题,这次还是一样,在网上找了找方法,自己记录一下。

1. 设置全局jdk版本

File -> Other Settings -> Structure for New Projects...设置全局 JDK


2. 设置 Maven 点击 Other Settings -> Settings for new Projects...


3. 然后用maven自动配置pom.xml的依赖


右边栏,选中maven,选择刷新,然后在Project Structure --> Libraries中可看到lib依赖。项目中配置文件.xml中的class为红色,即是这里没有配置导致。

4. 接下来再配置好Tomcat即可启动测试。


5. 其它配置

为什么要配置Artifacts ?
先理解下它的含义,来看看官方定义的artifacts:
  An artifact is an assembly of your project assets that you put together to test, deploy or distribute your software solution or its part. Examples are a collection of compiled Java classes or a Java application packaged in a Java archive, a Web application as a directory structure or a Web application archive, etc.

即编译后的Java类,Web资源等的整合,用以测试、部署等工作。再白话一点,就是说某个module要如何打包,例如war exploded、war、jar、ear等等这种打包形式。某个module有了 Artifacts 就可以部署到应用服务器中了。

  • jar:Java ARchive,通常用于聚合大量的Java类文件、相关的元数据和资源(文本、图片等)文件到一个文件,以便分发Java平台应用软件或库;
  • war:Web application ARchive,一种JAR文件,其中包含用来分发的JSP、Java Servlet、Java类、XML文件、标签库、静态网页(HTML和相关文件),以及构成Web应用程序的其他资源;
  • exploded:在这里你可以理解为展开,不压缩的意思。也就是war、jar等产出物没压缩前的目录结构。建议在开发的时候使用这种模式,便于修改了文件的效果立刻显现出来。

默认情况下,IDEA的 Modules 和 Artifacts 的 output目录已经设置好了,不需要更改,打成war包的时候会自动在 WEB-INF目录下生成classes,然后把编译后的文件放进去

参考文章:
https://blog.csdn.net/weixin_43429839/article/details/101102178
https://www.cnblogs.com/dpl9963/p/10075456.html

idea导入项目后,如何设置相关配置相关推荐

  1. IntellIJ IDEA导入项目后无法运行方法的解决方法!

    ​ IntellIJ IDEA导入项目后右键无法运行main方法问题 前言 用IDEA导入项目后,需要运行项目中的一个类中的main方法,鼠标右键发现菜单中没有Run main,此时无法运行main方 ...

  2. Eclipse中导入项目后js报错解决方法

    Eclipse中导入项目后js报错的原因与解决方法 在我们将项目导入Eclipse后,配置好各种编译条件.加载好jar包.配置好tomcat后发现项目还是报错,(前提是项目本身并没有错误,而是我们在初 ...

  3. Eclipse导入项目后中文乱码

    在Eclipse中导入项目后出现中文乱码. 原因是导入的项目的编码方式与Eclipse中设置的编码方式不同. 1.去修改Workspace的编码方式 打开Eclipse--Window--Prefer ...

  4. Allegro导出和导入PRM即GERBER设置相关教程

    原文地址::Allegro导出和导入PRM即GERBER设置相关教程-电路知识笔记 - Powered by Discuz! 打开画好的板子点击file--Export---Parameters -- ...

  5. 【Android】导入项目后出现Plugin with id ‘com.android.application‘ not found.

    [Android]导入项目后出现Plugin with id 'com.android.application' not found. 原因:gradle还缺少配置: 如图: 解决方法:`将以下代码放 ...

  6. idea项目依赖导入失败_解决idea导入项目后依赖报错问题

    1.在idea首页 Confige-->setting-->build,execution,deployment-->buildTool-->maven-->work o ...

  7. 解决idea导入项目后依赖报错问题

    解决idea导入项目后依赖报错问题 参考文章: (1)解决idea导入项目后依赖报错问题 (2)https://www.cnblogs.com/dayandday/p/10607195.html (3 ...

  8. Myeclipse中导入项目后java类中汉字注释出现乱码问题(已解决)

    Myeclipse中导入项目后java类中汉字注释出现乱码问题(已解决) 参考文章: (1)Myeclipse中导入项目后java类中汉字注释出现乱码问题(已解决) (2)https://www.cn ...

  9. eclipse导入项目后出现红色叉号的解决方案

    eclipse导入项目后出现红色叉号的解决方案 参考文章: (1)eclipse导入项目后出现红色叉号的解决方案 (2)https://www.cnblogs.com/7758521gorden/p/ ...

最新文章

  1. python项目2019_2019 年 11 月精选 GitHub 上 几个牛逼 Python 的项目
  2. kotlin学习笔记——lambda表达式
  3. Linux内核判断大小端,linux kernel 如何处理大小端
  4. matlab如何使音频文件声音变大_如何制作视频课程
  5. C语言之文件读写探究(二):fputc、fgetc、feof(一次读写一个字符(文本操作))
  6. 基于stm32的c语言程序设计,基于stm32的电子密码锁设计 main.c 代码奉上,做课设的直接用...
  7. 525 Contiguous Array 连续数组
  8. POI实现大数据EXCLE导入导出,解决内存溢出问题
  9. mysqldump 快还是navicat快_剪辑软件评测:选喵影工厂、爱剪辑还是快剪辑?
  10. C语言输出素数表(1-100)前100个
  11. 吉他入门教程之吉他音阶训练——练习方法
  12. 是真的吗?蚂蚁的LDC架构,到底是干嘛的,真的那么牛吗
  13. 利用flex布局在父元素和子元素宽高不明的情况下设置某一子元素剩余父元素的宽高
  14. 存储空间不足,无法处理此命令。 (异常来自 HRESULT:0x80070008)
  15. python 环境配置
  16. 系统集成项目管理工程师背诵内容
  17. Hilary Stagg 不死传说
  18. 16.体测成绩判定(c函数调用)
  19. java 实现组合_排列组合算法(JAVA实现)
  20. 高精度定位成就智能物联|高精度定位的“智能化”进化史

热门文章

  1. 从此实现文库下载自由(YYDS)
  2. 故宫博物院首次复原“天灯”“万寿灯”迎己亥春节
  3. 产品经理待遇有多少?揭秘产品经理真实收入
  4. MATLAB Simulink Missile Guidance 模型使用指南
  5. PX4学习(1)——PX4固件版本开发环境(ROS+mavros版本)
  6. 《蓝海战略》读书笔记
  7. 数据仓库—stg层_有赞数据仓库实践之路
  8. 页游mysql充值修改_更改游戏充值金额 | 手游网游页游攻略大全
  9. 计算机三级数据库技术 第6章 数据库及数据库对象
  10. 博士怎样顺利开题,读博规划【对比国内外读博现状】