【VHDL】【作业】2 基于CASE语句的4选1多路选择器表述


文章目录

  • 【VHDL】【作业】2 基于CASE语句的4选1多路选择器表述
  • 前言
  • 1、八选一多路选择器
    • 仿真波形
  • 2、半减器
    • a.什么是半减器?
    • b.真值表
    • c.仿真时序图
    • d.逻辑表达式
    • e.门电路图
    • f.VHDL代码
  • 3、全减器
    • a.什么是全减器?
    • b.真值表
    • c.仿真时序图
    • d.逻辑表达式
    • e.门电路图
    • f.VHDL代码
  • 总结
  • 每天进步一点点 笔记仅供自学,用来回看复习,不一定适合你,如有错误请指出。

前言

记录学习的过程,顺便期末复习一下。


1、八选一多路选择器

LIBRARY IEEE; --引用库
USE IEEE.STD_LOGIC_1164.ALL; --调用库中的程序包
ENTITY MUX81A IS  --实例化一个 名为 MUX81A 的实体PORT ( a, b, c, d, e, f, g, h, s0, s1, s2 : IN STD_LOGIC; y : OUT STD_LOGIC);--定义其各端口
END ENTITY MUX81A;ARCHITECTURE BHV OF MUX81A ISSIGNAL S : STD_LOGIC_VECTOR (2 DOWNTO 0);BEGINS <= s2 & s1 & s0 ; -- 并置s2、s1与s0 PROCESS(s2,s1,s0) BEGIN --敏感信号表中可以方s1 s0,也可以直接放sCASE (S) ISWHEN "000" => y<=a; -- "=>"是格式符号 "<="是赋值符号WHEN "001" => y<=b;WHEN "010" => y<=c;WHEN "011" => y<=d;WHEN "100" => y<=e;WHEN "101" => y<=f;WHEN "110" => y<=g;WHEN "111" => y<=h;WHEN OTHERS => NULL;END CASE;END PROCESS;
END BHV;

仿真波形

S对应的是 001 对应选择输出B的波形。


2、半减器

a.什么是半减器?

半减器用于计算 2Bit 的 Xi(被减数)Yi(减数)的减法,
输出结果Di(半减差)Bo(Borrow output 高位的借位输出信号)

b.真值表

c.仿真时序图

d.逻辑表达式

xor表示异或

e.门电路图

f.VHDL代码

LIBRARY IEEE;--引用库
USE IEEE.STD_LOGIC_1164.ALL;--调用库中的函数ENTITY h_subter IS--实例化一个半减器PORT(A : IN STD_LOGIC;B : IN STD_LOGIC;DI : OUT STD_LOGIC;--结果位BO : OUT STD_LOGIC --借位端);
END ENTITY h_subter ;--结束实例化ARCHITECTURE fh1 of h_subter IS --声明一个半减器结构体BEGIN DI    <= A XOR B ; --A 异或 BBO <= NOT A AND B;-- 非A 与 BEND ARCHITECTURE fh1;--结束结构体的声明

3、全减器

a.什么是全减器?

全减器不同于半减器在于,全减器输入来自低位的借位Bi(Borrow input),另外两个输入Xi,Yi,输出为Di和向高位的借位Bo

b.真值表

Xi = ainYi = binBi = cinDi = diffBo = sub_out
这样还看不懂的话,可以用纸笔演算一下。

c.仿真时序图

d.逻辑表达式

e.门电路图

f.VHDL代码

LIBRARY IEEE; --全减器顶层设计描述
USE IEEE.STD_LOGIC_1164.ALL; --调用库函数中的程序包ENTITY a_subter ISPORT ( ain, bin, cin : IN STD_LOGIC;diff, sub_out : OUT STD_LOGIC );
END ENTITY a_subter;ARCHITECTURE fd1 OF a_subter ISCOMPONENT h_subter   --调用半减器声明语句PORT ( A, B : IN STD_LOGIC; DI, BO : OUT STD_LOGIC );END COMPONENT;COMPONENT or2a --调用或门声明语句PORT ( a, b : IN STD_LOGIC; c : OUT STD_LOGIC );END COMPONENT;SIGNAL net1, net2, net3 : STD_LOGIC; --定义3个信号作为内部的连接线(看电路图会更加清晰)
BEGIN u1 : h_subter PORT MAP(A=>ain, B=>bin, DI=>net1, BO=>net2 );--例化语句u2 : h_subter PORT MAP(A=>net1, B=>cin, DI=>diff, BO=>net3); --简写的语句sub_out <= net2 or net3;--u3 : or2a    PORT MAP(a=>net2, b=>net3, c=>sub_out);
END ARCHITECTURE;

总结

1、全减器是包含低位借位的三个输入变量、两个输出变量的一位减法器,在半减器的基础上再加一个低位借位输入位。
2、半减器是只有两个输入变量、两个输出变量,无借位输入的一位减法器。


每天进步一点点 笔记仅供自学,用来回看复习,不一定适合你,如有错误请指出。

【VHDL】【作业】2八选一、半减器、全减器相关推荐

  1. EDA技术与应用上机任务 电子信息类 Quartus II或Quartus Prime D触发器、半减器、全减器、可加减控制的50进制加减计数器。

    EDA技术与应用上机任务书 上机目的 熟悉掌握Quartus II或Quartus Prime开发工具的使用. 掌握利用硬件描述语言(Verilog)设计简单程序的步骤及方法. 掌握若干组合逻辑电路及 ...

  2. Verilog 半减器和全减器

    系列目录 Verilog 半加器和全加器 Verilog 半减器和全减器 串行加法器 11位全加器verilog设计 目录 系列目录 文章目录 前言 一.什么是半减器和全减器 半减器 全减器 二.半减 ...

  3. 【VHDL】半减器 and 或门 组成 全减器

    建议先把课本上的 半加器与全加器理解一下,再来写 半减器和全减器 点这里查看 哔哩哔哩上的视频 <半加器与全加器>(讲得挺清晰的) 点这里查看 哔哩哔哩上的视频 <什么是全减器> ...

  4. 【FPGA】Verilog 实践:半加器与全加器 | 半减器与全减器 | Code Converter

    写在前面:本章主要理解加法器和减法器的概念,并了解 Code converter 的概念.使用 Verilog 实现多种加法器.减法器和代码转换器,通过 FPGA 验证 Verilog 实现的电路的行 ...

  5. 流行和声(7)min7b5/半减七和弦

    流行和声(7)min7b5/半减七和弦 构成:1.b3.b5.b7 不能省略五音 张力音以及命名 b9音.9音.11音.b13音(从洛克利亚调式来) 随着张力音的出现, Cm7b5:1.b3.b5.b ...

  6. 2022半入耳式蓝牙耳机怎么选?半入耳式耳机排行榜

    2022半入耳式蓝牙耳机怎么选?半入耳式耳机排行榜 我们在选择一款产品前,首先要搞清楚2个问题.第一需求,你希望这款产品能帮你解决什么问题?第二预算,你打算拿出多少钱来购买这款产品.在可接受的预算范围 ...

  7. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  8. XJTU_ 西安交通大学2020大学计算机作业-第八周

    XJTU_ 西安交通大学2020大学计算机作业-第八周 XJTU_ 西安交通大学2020大学计算机作业-第八周 注:所有题所有用例均已通过. 文章没上传到github,反正没人点星星:https:// ...

  9. 【vue开发问题-解决方法】(八)利用axios拦截器实现elementUI中加载动画,控制加载区域

    [vue开发问题-解决方法](八)利用axios拦截器实现elementUI中加载动画,控制加载区域 参考文章: (1)[vue开发问题-解决方法](八)利用axios拦截器实现elementUI中加 ...

最新文章

  1. 暑期集训5:并查集 线段树 练习题F:  HDU - 1166 ​​​​​​​
  2. php循环方法实现先序、中序、后序遍历二叉树
  3. python使用snappy压缩
  4. python生成html报表_python生成HTMl报告(unittest)
  5. Postgresql 8.2.15 安装文档
  6. AcWing 1015. 摘花生 (DP)
  7. 后代选择+++margin-right:auto
  8. MTK旧平台与RDA8851开机详解
  9. [paper reading] CenterNet (Triplets)
  10. 小Q系列故事——大笨钟
  11. VB.NET中DataGridView控件
  12. SQL Server 复制, 集群
  13. PowerBuilder 9日历控件展示,下载(附带源码)2
  14. 群晖室开虚拟机安装于服务器,黑群晖虚拟机安装
  15. 【应急响应】Linux入侵排查
  16. 微信群二维码活码工具随时自动切换二维码永不过期?这样实现自动化裂变
  17. 关于网站版权声明(Copyright)的格式和写法
  18. 论文阅读笔记: (2022.05, icra) Traffic Context Aware Data Augmentation for Rare Object Detection in Autonom
  19. 怎么能避免浏览器请求超时_浏览器所允许的http请求最长的响应时间?
  20. 错宗复杂的进程标识PID

热门文章

  1. 云栖大会人脸识别闸机【技术亮点篇3】--人脸识别闸机摆闸可达500万次
  2. 21/4/25 项目二:客户信息管理软件
  3. nginx容器配置https后访问有时显示连接被重置
  4. vim etc mysql my.cnf_初始化配置文件的使用:/etc/my.cnf
  5. Centos磁盘管理
  6. 一个女孩子居然做了十年硬件。​。。
  7. 云计算、大数据和人工智能知识普及
  8. 考研 英语一 大作文-图画作文 (一)----第一段描述图画写作攻略
  9. c语言调用子函数程序,函数的调用
  10. 计算机如何管理应用,电脑怎么管理iPhone手机应用