一、Modelsim10.4 安装过程

参考:https://blog.csdn.net/cheweng4363/article/details/94763687

1、运行安装包


之后一路安装,遇到创建弹窗是选择Yes,最后询问是否重启时选择No

2、破解过程

(1)安装路径下mgls.dll和mgls64.ll两个文件的属性中去掉只读勾选后,点击确定

(2) 复制破解包中的MentorKG.exe和patch_dll.bat两个文件夹到安装路径win64文件下,双击patch_dll.bat文件,等待片刻生成LICENSE.TXT后另存为到win64文件下

(3)恢复mgls.dll和mgls64.ll两个文件的只读属性

(4)添加如下环境变量

变量名:MGLS_LICENSE_FILE

变量值:另存为之后的LICENSE.TXT的路径

修改环境变量之后重启电脑后,破解成功。

二、Modelsim10.4与ISE14.7联合仿真

1、指定modelsim的安装位置

2、编译安装仿真库

(1)编译仿真库

点击compile simulation libraries






开始编译

这一个过程大约耗时20分钟


(2)从Process菜单,选择Process Properties…,设置Compiled Libraey Directory
重点:如果刚开始使用了ise自带的仿真工具,需要将isim修改为modelsim-se。

(3)复制ISE安装路径下D:\ise14.7\14.7\ISE_DS\EDK modelsim.ini的 [Library] 里以下内容到madelsim安装路径下的 F:\program\Modelsim10.4 modelsim.ini的 [Library] 里,注意粘贴之前,F:\program\Modelsim10.4 modelsim.ini的属性去掉只读的勾选

secureip = D:\ise14.7\14.7\ISE_DS\EDK/secureip
unisim = D:\ise14.7\14.7\ISE_DS\EDK/unisim
unimacro = D:\ise14.7\14.7\ISE_DS\EDK/unimacro
unisims_ver = D:\ise14.7\14.7\ISE_DS\EDK/unisims_ver
unimacro_ver = D:\ise14.7\14.7\ISE_DS\EDK/unimacro_ver
simprim = D:\ise14.7\14.7\ISE_DS\EDK/simprim
simprims_ver = D:\ise14.7\14.7\ISE_DS\EDK/simprims_ver
xilinxcorelib = D:\ise14.7\14.7\ISE_DS\EDK/xilinxcorelib
xilinxcorelib_ver = D:\ise14.7\14.7\ISE_DS\EDK/xilinxcorelib_ver
uni9000_ver = D:\ise14.7\14.7\ISE_DS\EDK/uni9000_ver
cpld = D:\ise14.7\14.7\ISE_DS\EDK/cpld
cpld_ver = D:\ise14.7\14.7\ISE_DS\EDK/cpld_ver
edk = D:\ise14.7\14.7\ISE_DS\EDK/edk

粘贴之后勾选只读属性

注意粘贴的位置

编写仿真文件之后,即可启动使用。

ps:使用愉快,哈哈

ISE联合Modelsim进行仿真相关推荐

  1. 基于FPGA的DDS算法实现(可调幅值,附ISE联合Modelsim仿真结果)

    基于FPGA的DDS算法实现(附ISE联合Modelsim仿真结果) 声明:这篇博客是在充分参考前人成果的基础上写成的,如有侵权,请联系我作进一步处理.此外,这是我第一次写博客,描述不准确之处敬请指出 ...

  2. ISE联合Modelsim仿真失败的解决办法

    问题: ISE联合Modelsim进行功能仿真,但是Moldelsim唤起失败,显示错误为:编译库的路径未包含.如下: 解决: 由报错信息,错误原因为:编译库的路径未包含.需要添加编译库路径: 点击P ...

  3. zedboard:使用ISE和modelsim搭建仿真环境

    详细步骤: 产生ISE仿真库文件 开始->所有程序->xilinx design tools->simulation library compilation wizard.路径可能不 ...

  4. Xilinx ISE 联合 modelsim 进行功能和时序仿真

    1.代码输入 (1).新建一个ISE工程,名字为count4. (2).新建一个verilog文件 (3).选择verilog module 输入file name为count4,单击next默认知道 ...

  5. ISE和Modelsim联合仿真

    相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真.我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下.我尽量讲得详细点儿,多多上图. 我的环境:Windo ...

  6. ise和modelsim联合仿真的一些准备

    首先要在modelsim中编译xilinx的三个库,分别是unisims库,simprims库,和corelib库,其中unisims库全称为(library of united component ...

  7. modelsim独立仿真与联合仿真生成覆盖率流程(随笔)

    modelsim 覆盖率统计 无脚本 生成modelsim工程文件,代码编译成功 全选代码按这个设置 到library界面,打开work,选择写好的TB文件,选择仿真和覆盖率统计 进入仿真界面,点击左 ...

  8. Vivado联合ModelSim仿真设置(附图步骤)

    目录 1.在Vivado中生成lib 2.生成库的选择 3.点击Compile,即可开始生成库文件 4.在Vivado中添加ModelSim调用设置 5.将Vivado的仿真库添加到ModelSim中 ...

  9. [转帖]ISE与Modelsim联合观察中间信号

    如何仿真IP核(建立modelsim仿真库完整解析) 来源:http://www.ednchina.com/ART_49023_19_0_AN_7116cf44.HTM IP核生成文件:(Xilinx ...

最新文章

  1. 彻底解决兼容问题 Windows 7 安装 Visual C++ 6.0 (VC6) 全程图解
  2. HTML5视频的使用总结
  3. 1.10 编程基础之简单排序 03 成绩排序 3分 python
  4. webpack-dev-server
  5. 耐人寻味的 8 幅Java技术图
  6. C++对结构体按照某一项元素进行排序
  7. C#中日历控件的使用monthCalendar,dateTimePicker
  8. 虚拟机启动失败/检查打开虚拟化-解决方案小结
  9. 获取企业微信code
  10. 【艾琪出品】-【福建师范大学】-福师《计算机应用基础》在线作业二参考资料正确答案
  11. 仙武:开局神级召唤!(三)
  12. 2020年1月使用Mac线刷红米Note3全网通
  13. 小米4 第三方re奇兔_小米推送测试
  14. 经典算法研究系列:五、红黑树算法的实现与剖析
  15. 单片机IO口悬空,高阻态究竟是什么意思?
  16. 解决Windows 11/10 上的网络打印机错误 0x0000011b
  17. FZU Monthly-201910 tutorial
  18. oracle查看主备库延迟时间,【分享】Oracle 主备库容灾维护常用的九个SQL
  19. 5个开源聊天应用程序
  20. 阿里巴巴腾讯百度十年来的相爱相杀

热门文章

  1. 2018年终总结:你的公司是否需要自建一套基于H5活动的SAAS系统
  2. 计算机组成原理——复习笔记
  3. python学习日记3月18
  4. 帮我写一篇英语单词记忆App的论文
  5. HIT-ICS2021大作业-1190200924-田轩
  6. Nandflash原理W29N02GVS1AA
  7. 【图神经网络】图神经网络(GNN)学习笔记:图的基础理论
  8. 干货分享-如何建社群以及运营
  9. 哪些纪录片可以称为「神作」?
  10. HTML-input标签