IUS通过PLI产生fsdb波形
fsdb是verdi所独有支持的文件类型,VCS和IUS需要调用PLI来得到fsdb文件。
如果PLI的路径不对,fsdndumpfile和fsdndumpvar识别不出来。
IUS通过PLI接口来调用系统函数,产生fsdb波形,再由verdi来debug。
要调用fsdbDumpfile和fsdbDumpvars,需要在testcase的shell(或.cshrc等)中设置两个路径:
setenv LD_LIBRARY_PATH ${VERDI_INST_DIR}/share/PLI/${SIMULATOR_VERSION}/${PLATFORM}/boot:$LD_LIBRARY_PATH(setenv函数只在当前shell中有效)
+loadpli1=debpli:novas_pli_boot(或debpli:(directory of debpli.so))
如何打开基于这个工程的verdi:
在worklib++/ ,下使用命令verdi -top top。或者verdi -f file_list,如果直接打开波形加-ssf option
nWave -ssf ....fsdb 直接打开nwave界面
verdi -ssf ....fsdb 直接打开nwave界面
转载于:https://www.cnblogs.com/-9-8/p/4383205.html
IUS通过PLI产生fsdb波形相关推荐
- VCS dump fsdb 波形
文章目录 前言 一.testbench中控制dump fsdb 1.1 testbench中加入的代码 1.2.开启记录波形 二.vcs仿真命令控制dump fsdb 三.irun仿真命令控制dump ...
- 【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法
[开发环境] irun(ncverilog)无法dump fsdb波形问题解决方法 参考文章: (1)[开发环境] irun(ncverilog)无法dump fsdb波形问题解决方法 (2)http ...
- 如何将fsdb波形转成csv等可读性文本格式
如何将fsdb波形转成csv等可读性文本格式 工具 用处 工具 利用verdi的fsdbreport,verdi命令如下: ~% fsdbreport test.fsdb -bt 1000ns -et ...
- 【原】FSDB波形转VCD波形
[说明]有时候需要对波形进行功耗分析:FSDB波形转换成VCD波形: fsdb2vcd -h 即可查看相关的命令帮助: -bt 10 为波形截取的起始时间:单位默认为ns -et 1000 为波形 ...
- Cadence IUS 之二: 生成波形
Cadence IUS支持生成其浏览分析工具simvision可读取的波形, 波形文件的后缀为*.trn.以下为一个在testbench中生成波形的一个例子. initial begin: DUMP_ ...
- verdi fsdb转vcd波形:用于后端功耗分析
背景1:fsdb.vcd波形文件介绍 FSDB Spring Soft (Novas)公司 Debussy / Verdi 支持的波形文件,一般较小,使用较为广泛,其余仿真工具如ncsim,modle ...
- 【仿真】波形dump(vcd,shm,fsdb,saif,...)
IC研发过程中,可能会涉及到多种工具,过程中也可能需要不同格式的波形文件.从网上搜索情况来看,介绍saif的较少,所以这里着重介绍一下saif的dump. 下面粘贴了两个相关背景知识的帖子,如其中介绍 ...
- verdi方法fsdbreport将fsdb的某个信号值抽出为可读文件 及波形转换,合并,修改工具,Verdi培训整理笔记
目录 1.fsdbreport可以将fsdb的某个信号值抽出 2.fsdbreport manual 3.其他使用工具 0.Verdi培训整理笔记 文档记录在个人百度网盘 1.fsdbreport可以 ...
- 常用数字设计仿真工具(QuestaSim,VCS,IUS,Verdi等)使用入门
目录 1. 前言 2. QuestaSim基本使用方法 2.1 命令行编译 2.2 命令行运行 2.3 启动GUI进行仿真 2.4 从GUI中进行编译运行 2.5 dump波形 2.6 指定随机种子 ...
最新文章
- 个人对继承理解以及虚析构函数的理解
- 简单分析一下socket中的bind
- “CV_GRAY2BGR”: 未声明的标识符
- Ehcache中核心类和方法
- 算法练习day3——190320(对数器、归并排序)
- 牛客挑战赛48E-速度即转发【带修莫队,分块】
- [unity3d]手游资源热更新策略探讨
- 前端学习(1878)vue之电商管理系统电商系统之左侧菜单布局
- cdh界面 hue 配置hbase_海量数据存储技术之HBase:使用HBase Shell操纵HBase
- 某个应用导致html文件,某个应用导致你的默认浏览器设置出现问题(补丁又闯祸:Windows 10默认应用被重置 附解决方法)...
- 用算法描述对数几率回归,逻辑回归算法描述,参考答案
- PAT:1031. 查验身份证(15) AC
- 网络攻防第七周作业之20189304李小涛
- Listary与QTtabbar整合
- 迷你双核RK3066 安卓4.1智能网络高清TV 安卓播放器MK802III-淘宝网
- 【 [mmdetection] 如何在训练中断后,接着上次训练?】
- Day9 化学方程式配平
- 《神经科学:探索脑》学习笔记(第24章 学习和记忆的分子机制)
- 汇编语言六 报数出列设有n(设为17)个人围坐在圆桌周围,按顺时针给他们编号(1,2,~~~,n),从第1个人开始顺时针方向+1报数,当报数到m(设为11)时,该人出列
- 包装类详解(装箱(包)、拆箱(包)、Integer类型缓存)
热门文章
- 多种分隔符分割字符串
- 爬虫实现:获取微信好友列表爬取进行好友分析
- 简易电子琴设计(琴键、预设、录制、回放)
- MT5 Gateway API 行情与交易流通性完成
- 苹果结构体系不匹配_iPhone 5C下载安装微信时提示结构不匹配怎么办及 iPhoneX怎么强制重启...
- 微信小程序实现单选、全选功能
- 转 Linux块设备加速缓存bcache和dm-cache 使用SSD来加速服务器
- MSVCRTD.lib(exe_main.obj) : error LNK2019: 无法解析的外部符号 _main,函数 “int __cdecl invoke_main(void)“ (?invo
- 拼多多搜索怎么测图测款?教你快速找出店铺内潜在爆款
- CC2640使用snv保存信息