之前看小梅哥视频,对下面语句有所疑惑:

不知道这个@(posedge)触发后只执行一句还是后面的都执行,能不能加begin end只触发某几句;如果能用begin end的话,没有触发上升沿那么这个语句会不会阻塞后面的语句,自己就写了个testbench,代码如下:

`timescale 1ns/1ns
`define tm 20
module tb();reg clk;reg test1;reg test2;reg test3;reg clk1;initial clk = 1'b0;always#(`tm/2) clk = ~clk;initial begintest1 = 1'b0;test2 = 1'b0;test3 = 1'b0;clk1 = 1'b0;#(`tm*3);@(posedge clk)begintest1 = 1'b1;test2 = 1'b1;end #(`tm*3);  @(posedge clk1)begintest3 = 1'b1;end#(`tm*3);test1 = 1'b0;test2 = 1'b0;test3 = 1'b0;@(posedge clk)begintest1 = 1'b1;end#(`tm*10);$stop;end
endmodule 

波形结果:

结论是会阻塞,@(posedge clk)语句其实等同于wait。

FPGA学习笔记:单次调用@(posedge clk)(没有always)相关推荐

  1. FPGA学习笔记_ROM核调用与调试

    FPGA学习笔记 ROM核调用与调试 1. ROM存储器IP核的使用 2. 创建.mif文件 3. In system memory content editor内存查看工具的使用 4. Signal ...

  2. 小梅哥FPGA学习笔记

    小梅哥FPGA学习笔记 一.38译码器 功能: 译码器其任一时刻的稳态输出,仅仅与该时刻的输入变量的取值有关,它是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等).38 ...

  3. FPGA学习笔记(十二)IP核之FIFO的学习总结

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  4. FPGA学习笔记_UART串口协议_串口接收端设计

    FPGA学习笔记 1. UART串口协议以及串口接收端设计 1 原理图 2 Verilog 代码 3 Modelsim仿真 4. FPGA板级验证 1.1 串口协议接收端设计 目标:FPGA接收其他设 ...

  5. FPGA学习笔记(八):ASK调制解调的仿真

    笔记八是ASK调制解调的仿真实现. ASK调制解调的实现原理:首先使用MATLAB产生存储基带波形的coe文件,再让ROM读取coe文件输出基带波形,然后DDS产生正弦波信号作为载波信号,接下来使用乘 ...

  6. FPGA学习笔记(八)同步/异步信号的打拍分析处理及亚稳态分析

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  7. FPGA学习笔记——分频电路设计

    FPGA学习笔记--分频电路设计 发布时间:2015-10-3023:29:52 分频就是用一个时钟信号通过一定的电路结构变成不同频率的时钟信号,这里介绍一下整数分频电路的设计方法.整数分频电路有偶数 ...

  8. 设计模式学习笔记——单例(Singleton)模式

    设计模式学习笔记--单例(Singleton)模式 @(设计模式)[设计模式, 单例模式, Singleton, 懒汉式, 饿汉式] 设计模式学习笔记单例Singleton模式 基本介绍 单例案例 类 ...

  9. FPGA学习笔记(七): DSB调制解调的仿真

    笔记七是DSB调制解调的仿真实现. DSB调制解调的实现原理:首先使用DDS产生低频正弦波信号作为调制信号,再用DDS产生高频信号作为载波信号,然后使用乘法器将两者相乘产生DSB信号,DSB信号与载波 ...

最新文章

  1. 三分钟学会.NET Core Jwt 策略授权认证
  2. centos 卸载ffmpeg_Linux下ffmpeg的完整安装
  3. python宏替换_简单的宏替换
  4. 快毕业才发现自己找不到工作,为什么实习经历如此重要?
  5. 【算法学习】图相关算法编程实现-深度优先遍历和广度优先遍历
  6. python面向对象教程_Python入门系列教程3-面向对象
  7. oracle 触发器更新视图,关于UPDATE触发器的详细介绍
  8. Google完整安装包下载
  9. Vray for UE4 (一)
  10. python,opencv利用自适应阈值分割法实现微滴图像分割并计数
  11. python表单验证wtf_正在验证wtfforms中的美国电话号码
  12. javaScript的字符串
  13. flutter-拨打电话功能
  14. 小破练习-嵌套循环及列表
  15. 金蝶应收应付模块流程_金蝶应收应付手册
  16. 一致性哈希算法的解析与实现
  17. 2018美团CodeM编程大赛资格赛第一题
  18. QQ在线代码,MSN在线代码,雅虎通在线代码,阿里旺旺在线代码
  19. ubuntu安装gcc g++
  20. RationalDMIS 2020直线度评价

热门文章

  1. 查看MYSQL中数据表占用的空间
  2. JavaScript (一)
  3. python用turtle输入数字_Python02 标准输入输出、数据类型、变量、随记数的生成、turtle模块详解...
  4. 从控制台输入一个数字表示某个班学生人数,然后创建一个表示该班级所有学生名字的字符串数组,并使用控制台输入学生名字赋值给数组的每一个元素,最后输出所有学生姓名。
  5. JAVAWeb高校教师工作量统计系统
  6. 旷视科技联合IDC发布AI+手机行业白皮书 人工智能引领手机“视”界革命
  7. makedepend
  8. 【状语从句练习题】because vs so
  9. 【春节安全保障有我们】安全狗春节放假值班通知
  10. win7文件名不能使用哪些符号