软件版本:
Modelsim10.4SE
ISE14.7
仿真IP:时钟管理IP(clock wizard)
流程:
1.对于Modelsim10.4SE,并不自带Xilinx家的仿真库,因此首先需要编译Xilinx家的器件仿真库;
仿真库解析:
① secureip库:硬核(HARD IP)仿真(功能仿真和时序仿真)模型(hard IP simulation model),比如PowerPC、PCIE、SRIO、DDR等。Simulation models for the Hard-IP such as the PowerPC processor, MGT, and PCIe leverage this technology
②unisim(unisim_ver)库:xilinx 原语的功能仿真模型
③unimacro(unimacro_ver)库:xilinx宏命令功能仿真模型
④xilinxcorelib(xilinxcorelib_ver)库:xilinx cores 功能仿真模型
⑤simprims(simprims_ver)库:xilinx原语的时序仿真模型
⑥unifast库:vivado加速仿真的库(The UNIFAST library is an optional library that can be used during RTL behavioral simulation to speed up simulation runtime.
开始菜单中打开编译GUI:
选定modelsim路径编译即可,后面有编译库存放的位置自己指定:
编辑modelism.ini文件:
2.glbl文件位置(ISE安装目录):
E:/kingstacker/workfile/ise14.7/14.7/ISE_DS/PlanAhead/data/verilog/src
src下面有个glbl.v文件。
glbl文件作用:glbl文件当你仿真Xilinx家的IP核时是必须要添加进work中的,否则仿真会失败,工程中没有使用IP应该不用添加。
在sim.do文件中如下:而且glbl必须在work.tb的后面
3.在ISE中生成IP文件:后缀为.v的文件。
4.仿真do文件编写如下所示:
.main clear
#set testbench name;
set tb_name pll_ip_tb
#set the sim home dir ;
set sim_home E:/kingstacker/work/demo_3_12/sim
#set the src code home dir;
set src_home E:/kingstacker/work/demo_3_12/sim
#set the glbl.v file dir;just for xilinx devices;
set xilinx_glbl_dir E:/kingstacker/workfile/ise14.7/14.7/ISE_DS/PlanAhead/data/verilog/src
vlib ${sim_home}/work
vmap work ${sim_home}/work
vlog ${xilinx_glbl_dir}/glbl.v
vlog ${src_home}/pll*.v  
vsim -novopt -t ps  -L secureip -L unisims_ver -L unimacro_ver -L simprims_ver -L uni9000_ver -L xilinxcorelib_ver -L unisims_ver    work.${tb_name}  glbl
view wave
add wave *
run 8000ns
#quit -f
5.查看波形:
以上。

Mdoelsim10.4怎么脚本单独仿真ISE14.7 IP核相关推荐

  1. modelsim 独立仿真vivado fifo IP核

    1.前言 vivado内自带仿真器,或者可以通过vivado启动第三方仿真工具,联合仿真.但是很多时候,我们希望脱离vivado,利用modelsim或者vcs等第三方仿真工具,高效地仿真.本文介绍如 ...

  2. modelsim仿真quartus软件IP核错误及解决办法

    本人作为萌新.在这个寒假第一次接触FPGA,并且在仿真的过程中遇到了很多问题,并且通过互联网发现csdn上有许多大佬分享的心得与资料.虽然很多大佬的思路给了我启发,但是实现过程不够细致,导致仿真过程出 ...

  3. 用Modelsim仿真QII FFT IP核的时候出现的Error: Illegal target for defparam

    怎么回事,在用Modelsim仿真QII FFT IP核的时候出现的问题 ,这个可是用QII11.1的mega wizard manager 生成的一个FFT的模块 可是在调用Modelsim 进行c ...

  4. Modelsim 仿真 DDR2 IP核 测试 和自定义仿真平台搭建 IP核仿真

    说明:本文分为两部分,第一部分仿真QuartusII自己生成的DDR2 example, 第二部分为添加自己写的tesetbench文件仿真DDR2 关键词:DDR2仿真.Modelsim 软件:Qu ...

  5. Xilinx PLL IP核功能仿真

    0 本章目录 1)软件环境 2)PLL IP核仿真流程 3)结束语 1 软件环境 1)vivado 2016.1 2)modelsim10.4c 2 PLL IP核仿真流程 PLL IP核仿真流程如下 ...

  6. 弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

    弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩 IP核:知识产权核,指某一方提供的.形式为逻辑单元的可重用模块.IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以 ...

  7. 用Modelsim SE 直接仿真 Altera(Intel PSG) IP核 需要注意的问题

    如果我们直接用Modelsim SE仿真 Altera IP核,首先会进入Quartus II目录下找到IP核对应的仿真库源文件,然后在Modelsim SE中进行编译,添加到Modelsim SE的 ...

  8. ubuntu croncab定时任务 shell脚本单独可行 定时任务执行命令不可行

    shell脚本功能: 获取使用gpu的进程,通过长度判断有几个进程使用gpu,当有1个进程时,执行命令启动另一个进程 创建定时任务步骤: 编写定时任务: crontab -e 编写内容(两个小时执行一 ...

  9. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

最新文章

  1. Linux系统下活用History命令
  2. 开发打开设置洁面_用华为手机,这4个功能一定要打开,不然你的手机只会越用越卡!...
  3. 中国大陆穷光蛋排行榜---转
  4. PAT甲级1138 Postorder Traversal:[C++题解]前序遍历和中序遍历建树
  5. Android APP层 ShellUtils
  6. docker --- 镜像、容器
  7. 天池幸福感的数据处理_了解幸福感与数据(第1部分)
  8. 思科模拟器:[1]安装及汉化详解
  9. @Transactional注解的失效场景
  10. 活动丨4场直播华丽丽来袭,快来参与
  11. 【声传播】——角谱理论、模式理论及三维傅里叶变换
  12. win10桌面管理文件收纳_处女座福音 整理Win10桌面图标新玩法
  13. Window10 开启卓越性能模式
  14. 如何处理多重共线性问题
  15. 单词首字母大写,将每个单词的首字母改为大写后输出
  16. 六款在线项目管理工具
  17. Flowable实用技巧-自动完成首个UserTask
  18. 爬虫实战(一)利用scrapy爬取豆瓣华语电影
  19. Flowable实战(二):表结构以及变量表单介绍
  20. 预热中 2023.3.3中国 深圳 中国智造出海数字科技峰会 大咖云集 期待您的参与http://t1.ink/f/kdquis

热门文章

  1. win10系统,磁盘出现惊叹号和一把锁
  2. 8.18 纪中集训 Day18
  3. opengl 绘制圆柱体
  4. echarts 开发股票净值走势图记录
  5. Java牛客题(1)
  6. SwiftUI 2020年开源项目和教程合集
  7. Codevs 1060 搞笑世界杯
  8. 字符类型转化为int或者其他整数类型讲解
  9. 鲜花商场管理系统(Java毕业设计)
  10. 银行的舆情管控工作怎么做?