Verilog 多路选择器
实验内容:做一个4选1的mux,并且进行波形仿真 和2选1的mux对比,观察资源消耗的变化
1.MUX4-1
实验代码部分:

module mux4_1
(IN0 ,   // input 1IN1 ,     // input 2IN2 ,     // input 3IN3 ,     // input 4S0 ,  // select 1S1 ,     // select 2OUT
);        // out dataparameter WL = 4; // 输入输出数据信号位宽input [WL-1:0] IN0, IN1, IN2, IN3;input S0,S1; // 通道选通的控制信号output[WL-1:0] OUT; // 选择器的输入数据信号reg [WL-1:0] OUT;// 生成组合逻辑的代码always @ (IN0 or IN1 or IN2 or IN3 or S0 or S1) beginif(S0&&S1)OUT = IN3;else if(S0&&!S1)OUT = IN2;else if(!S0 &&S1)OUT = IN1;elseOUT = IN0;endendmodule

RTL 视图

波形仿真图

资源消耗图

第二部分:MUX2-1

实验代码

module MUX2
(IN0       ,   // input 1IN1       ,   // input 2SEL       ,   // select OUT
);                // out dataparameter WL = 16;      // 输入输出数据信号位宽input [WL-1:0] IN0, IN1;// 选择器的两个输入数据信号
input SEL;              // 通道选通的控制信号
output[WL-1:0] OUT;     // 选择器的输入数据信号
reg   [WL-1:0] OUT;// 生成组合逻辑的代码
always @ (IN0 or IN1 or SEL) beginif(SEL) // SEL为1 选择输入1OUT = IN1;else    // SEL为0 选择输入0OUT = IN0;
endendmodule

RTL视图

资源消耗图

多路选择器(4选1)相关推荐

  1. FPGA零基础学习:基于FPGA的多路选择器设计(附代码)

    FPGA零基础学习:基于FPGA的多路选择器设计(附代码) 大侠好,欢迎来到FPGA技术江湖.本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的& ...

  2. (73)多路选择器(二选一)

    (73)多路选择器(二选一) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)多路选择器(二选一) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)F ...

  3. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

  4. FPGA _Verilog HDL_8选一多路选择器设计

    一.题目 熟悉QuartusII软件,并设计一个8选1多路选择器,并完成其仿真波形图(贴图). 二.源代码 //-------------------------------------------- ...

  5. Verilog数字系统设计——4 选1 多路选择器

    Verilog数字系统设计--4 选1 多路选择器 题目 试分别使用assign.门级原语和always 语句设计4 选1 多路选择器,并写出测试代码进行测试.要求编制测试模块对实现的逻辑功能进行完整 ...

  6. 04FPGA组合逻辑—多路选择器(二选一)

    目录 1. 理论 2. 实操 2.1 硬件资源 2.2 程序设计 2.2.1 模块框图 2.2.2 波形图绘制 2.2.3 rtl代码 2.2.4 验证 3.总结 1. 理论 多路选择器又称数据选择器 ...

  7. 四选一多路选择器MUX41a

    四选一多路选择器的代码如下,保存路径不能有中文!! 文件名保存为模块名. (Cyclone III-----EP3C40Q240C8) 编译无错误后: File-New-VMF Edit--Inser ...

  8. 四选一、八选一的多路选择器

    1.多路选择器设计方式 case语句 if else 语句 2.四选一的选择器 制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 ...

  9. 硬件 - 数字电路 - 使用反向门、与门、或门搭建二选一的多路选择器

    文章目录 基础知识 分析 这是一个二选一的多路选择器,其由一个反向门 U3,两个二输入与门 U1.U2,以及一个二输入或门 U4 组成: 通过 SELECTION(选择端)可以选择信号的输入源:要么 ...

  10. Verilog练习笔记(四选一多路选择器)

    制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 d3    00 信号示意图: 波形示意图: 输入描述: 输入信号   d1,d ...

最新文章

  1. Elasticsearch简史:源自给老婆开发烹饪App
  2. build with runtime package
  3. 软件工程之“个人附加题”
  4. boost::fusion::pair用法的测试程序
  5. 【uniapp】 下拉刷新页面
  6. ng-template 和 TemplateRef.createEmbeddedView
  7. 多尺度视网膜图像增强_视网膜图像怪异的预测
  8. LeetCode 1768. 交替合并字符串
  9. c语言小兔子原来有1个萝卜,体能《小兔子运萝卜》.doc
  10. 31个惊艳的数据可视化作品,感受“数据之美”!
  11. 为什么很多新型编程语言都抛弃了 C 语言风格的 for 语句?
  12. 一:部署harbor镜像仓库
  13. linux ip_tos,IP_TOS选项
  14. 基于Windows 系统VS C++环境下配置UR-RTDE库
  15. SDWAN的技术流派:纯软型、资源型、设备型、广域网优化型
  16. 运维工程师如何规划职业发展!
  17. [Unity] 战斗系统学习 12:Switchable 1
  18. chrome 解决本地加载图片提示Mixed Content
  19. 哪些原因会造成海外出国留学申请不成功?
  20. HTML5游戏实战之消灭星星(完整源代码)

热门文章

  1. Pug -- 全新的 Vue 高效书写体验
  2. VGG16进行猫狗分类
  3. M10淮海西路施工 沪公交138路26路绕道
  4. 外汇交易中:什么最重要
  5. 虚拟机分配的硬盘太大怎么办?
  6. 如何解决FPS/RTS/赛车类游戏的同步问题
  7. BCELoss、crossentropyLoss、NLLLoss的使用(pytorch)
  8. 科普: 4G太慢? 你对网速有误解!
  9. 显示计算机窗口地址栏,电脑QQ浏览器中在地址栏显示最常访问功能怎么开启
  10. 集成测试之增式集成测试和非增式集成测试