一、简介

verdi是一个目前流行的ICer使用的debug工具,主要用来查看代码以及波形以方便工程师解决问题。verdi的基本界面如下图所示,包括rtl界面以及波形界面。

二、基本操作

使用verdi打开设计以及波形的几种方法:

方法一:

1.open source file : verdi -sv -f <filelist.f> &

2.Use the File->Open Waveform File cpmmand to load the fsdb

方法二:

当VCS编译时使用-kdb选项时,vcs仿真会生成verdi的库文件,可以使用如下方式打开:

verdi -elab kdb

verdi -dbdir simv.daidir &

或者直接打开design和fsdb

verdi -ssf <fsdb> &

【Tools】Verdi常用方法相关推荐

  1. ACPI AML debug and override ACPI tables using initrd

    本文介绍ACPICA debugger tools,acpidbg和acpidump.acpiexec等:并演示如何使用这些工具,配合initrd,在不重新加载firmware的情况下,修改ACPI ...

  2. CSDN【top1】Pytest接口测试框架实战项目搭建

    一.前言 想想之前玩的框架,做的项目都是把数据用例冗余到一起的,不符合数据用例分离的思想,所以准备基于pytest搭建个测试框架,支持数据用例分离,接下来会用一系列文章逐步介绍整个框架是怎么搭建起来的 ...

  3. 【EDA Tools】VCS Verdi 联合仿真总结

    1. VCS 介绍 VCS是编译型 Verilog 模拟器,它完全支持 OVI 标准的 Verilog HDL 语言.PLI 和 SDF.VCS 具有行业中较高的模拟性能,其出色的内存管理能力足以支持 ...

  4. 『转载』Debussy快速上手(Verdi相似)

    『转载』Debussy快速上手(Verdi相似) Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是 ...

  5. ant4 多个form 验证_ant-design表单处理和常用方法及自定义验证操作

    首先要说一下antdesign这个框架API和demo丰富,而且开发环境提供对应的warning来纠正用户的错误.是一个很好的组件库. 关于表单验证方面是依赖于 async-validator 库.百 ...

  6. js 加总数组中某一列_JS数组求和的常用方法实例小结

    本文实例总结了JS数组求和的常用方法.分享给大家供大家参考,具体如下: js数组求和的方法 var array = [1, 2, 3, 4, 5]; var sum = 0; 1.for循环 for ...

  7. Hamcrest匹配器常用方法总结

    一.Hamcrest是什么? Hamcrest is a library of matchers, which can be combined in to create flexible expres ...

  8. stringbuffer常用方法_第八讲:常用类库API

    一.字符串操作---String类 1.String可以表示一个字符串,不能被继承(最终类)不可变 2.String类实际是使用字符数组存储的 String类的两种赋值方式: (1)一种称为直接赋值. ...

  9. Verdi的使用技巧总结

    Verdi的使用技巧总结 1,加载信号 2,放大缩小 3,波形文件保存.rc 4,移动波形窗口信号位置 5,对信号的操作 6,显示信号全路径 7,显示状态机及其名称 8,改变信号和波形颜色 9,参考信 ...

最新文章

  1. 二元函数洛必达求极限_由一类特殊的洛必达法则情形展开的讨论 ——小领域的大作用...
  2. 阿里P8亲自讲解!java实例变量和类变量
  3. NLP基础|中英文词向量评测理论与实践
  4. 基于spring-redis发布订阅模式的实现
  5. 接二手java项目需要什么资料_师妹问双非本科如何进BAT,我告诉她Java后端路线...
  6. C++ Primer Plus学习(一)—— 基础知识
  7. 关于《大道至简》第八章的收获
  8. 谈谈超平面(hyperplane)
  9. 搭建博客mysql 结构_LANP环境构架搭建
  10. devc++工程提示“源文件未编译”的可能问题
  11. Java 下数据业务逻辑开发技术 JOOQ 和 SPL
  12. C#基于OpenCVsharp提取文字区域
  13. 如何高效设计游戏——塔防游戏设计方案
  14. xSSD: DSSD,FSSD,ESSD,MDSSD,fireSSD
  15. nvidia显卡的Exclusive Process模式
  16. 悬垂指针(Dangling pointer)和野指针(Wild pointer)
  17. Anroid11有多个Launcher应用时,默认其中一个Launcher为启动Launcher,不用弹框选择Launcher
  18. 调程序的小女孩(感谢安徒生)
  19. finalize()
  20. ES6之Object.assign()用法,Object.assign()到底是浅拷贝还是深拷贝?

热门文章

  1. 前端小技巧:javascript 获取标签中的属性 对指定标签中的属性进行操作
  2. 关于使用MyBatis逆向工程生成器把其他数据库的同名表生成下来的问题(Table Configuration xxx matched more than one table (xxx,xxx))
  3. tp union 多表联查
  4. 数据结构导论大题汇总
  5. 10元喝啤酒数学题 c语言,《C语言程序设计》试卷3
  6. java实现的web网络书店
  7. 洛谷P1148 拱猪计分
  8. 如何正确使用机器学习中的训练集、验证集和测试集?
  9. 2021年安全员-B证考试题库及安全员-B证考试报名
  10. mac性能比服务器好,2020款MacbookAir和MacbookPro13区别是什么 性能参数对比介绍