目录

原题复现

状态转移图

我的设计

测试


原题复现

原题重现:

Implement a Mealy-type finite state machine that recognizes the sequence "101" on an input signal named x. Your FSM should have an output signal, z, that is asserted to logic-1 when the "101" sequence is detected. Your FSM should also have an active-low asynchronous reset. You may only have 3 states in your state machine. Your FSM should recognize overlapping sequences.

翻译一下:

实现一个Mealy型有限状态机,该机可以识别名为x的输入信号上的序列“ 101”。 您的FSM应该有一个输出信号z,当检测到“ 101”序列时,该信号将置为逻辑1。 您的FSM还应该具有低电平有效的异步复位。 您的状态机中可能只有3个状态。 您的FSM应该识别重叠的序列。

状态转移图

这是一个最普遍的题目,要求用Mealy状态机来实现序列“101”重叠检测,我们可以先画出状态转移图:

自我为是天衣无缝了呀。给出我的设计:

我的设计

module top_module (input clk,input aresetn,    // Asynchronous active-low resetinput x,output z ); localparam S0 = 0, S1 = 1, S2 = 2;reg [1:0] state, next_state;always@(*) begincase(state)S0: beginif(x) next_state = S1;else next_state = S0;endS1: beginif(~x) next_state = S2;else next_state = S1;endS2: beginif(x) next_state = S1;else next_state = S0;enddefault: beginnext_state = S0;endendcaseendalways@(posedge clk or negedge aresetn) beginif(~aresetn) state <= S0;else state <= next_state;endassign z = (state == S2 && x == 1) ? 1 : 0;endmodule

测试

测试一下:

成功!

HDLBits 系列(33)Sequence Recognition with Mealy FSM相关推荐

  1. HDLBits 系列(0)专题目录

    本篇博文是近来总结HDLBits系列的目录,点击蓝色字体即可进入查看具体内容. HDLBits 系列(1)从HDLBits中获取灵感,整顿自己,稳步前行 HDLBits 系列(2)如何避免生成锁存器? ...

  2. 状态机fsm_Verilog专题(三十二)101 Sequence Recognition Mealy FSM(101序列识别状态机)...

    HDLBits网址:https://hdlbits.01xz.net/wiki/Main_Page 题目 实现一个Mealy型有限状态机,该机可以识别输入信号x上的序列" 101" ...

  3. HDLBits 系列(31)Serial Receiver and Datapath

    目录 序言 原题复现 我的设计 序言 上篇博文: HDLBits 系列(30)Serial Receiver 写了串行接收器如何接收8位串行数据,正确接收8位串行数据后给一个接收完毕标志信号,这篇博文 ...

  4. HDLBits 系列(29)PS/2 mouse protocol(PS/2 packet parser and datapath)

    目录 序言 原题传送 题目解释 我的设计 序言 上篇博客: HDLBits 系列(28)PS/2 mouse protocol(PS/2 packet parser) 只对PS/2 mouse pro ...

  5. HDLBits 系列(8)——Sequential Logic(Finite State Machines(一))

    目录 3.2 Sequential Logic 3.2.5 Finite State Machines 1. Simple FSM 1 (asynchronous reset) 2. Simple F ...

  6. SAP PM入门系列33 - IP16 维修计划报表

    SAP PM入门系列33 - IP16 维修计划报表 对于维修计划,SAP PM模块也提供了标准查询报表IP16,方便业务人员根据需要对维修计划做查询. 执行事务代码IP16, 进入如下界面, 输入相 ...

  7. Moore FSM和Mealy FSM的区别

    Moore型FSM:下一状态只由当前状态决定,即次态=f(现状,输入),输出=f(现状) Mealy型FSM:下一状态不但与当前状态有关,还与当前输入值有关,即次态=f(现状,输入),输出=f(现状, ...

  8. HDLBits 系列(34)Serial two's complememter(Mealy and Moore FSM)

    目录 Mealy 状态机 原题复现 我的设计 Moore 状态机 原题复现 状态转移图 我的设计 Mealy 状态机 原题复现 原题复现: The following diagram is a Mea ...

  9. HDLBits 系列(32)Sequence recognition(序列检测)

    目录 原题复现 审题 状态转移图 我的设计 原题复现 原题复现: Synchronous HDLC framing involves decoding a continuous bit stream ...

最新文章

  1. python格式化输出print()
  2. DPDK vhost-user研究(十三)
  3. 500强公司面试的经典正确与错误回答对比!
  4. mysql常见报错解决办法
  5. c语言复制后无法运行,刚学C语言,在Linux下写的代码能正常编译,复制到VC下就无法运行...
  6. 类与对象和对应方法、封装
  7. flash绘制荷花多个图层_Flash鼠绘入门第八课:绘制脱俗荷花
  8. Dubbo学习笔记(二)
  9. ubuntu修改服务器端,修改ubuntu 服务器配置
  10. linux安装配置samba服务,UbuntuLinux系统安装配置Samba服务
  11. Java计算机毕业设计电脑小白网站源码+系统+数据库+lw文档
  12. 计算机网络无线局域网设计,无线校园网设计全攻略
  13. 互联网dmz区_DMZ区的概念和用途
  14. VSCode 使用 StandardJS 自动格式化代码
  15. python输入两个数求和笔试题_Python练习题1.1从键盘输入两个数,求它们的和并输出...
  16. (附源码)php丽江旅游服务网站 毕业设计 010149
  17. C语言-qsort函数详解及使用例
  18. 最受欢迎的八种编程语言
  19. 什么是mysql节点_什么是MySQL集群
  20. 【ElementUI】el-table 的表头和内容列不对齐

热门文章

  1. HDU 4712 Hamming Distance(随机算法)
  2. 软件工程 之 动物世界
  3. php比较3个数大小写,php在前n个字符的字符串比较(大小写敏感)的函数strncmp()...
  4. html进度条圆圈渐变色,HTML5 canvas带渐变色的圆形进度条动画
  5. 华为mate40pro更新鸿蒙时间,确认入网!鸿蒙系统将首发mate40Pro4G版,华为旧旗舰也迎来升级...
  6. python not函数_python 函数
  7. linux下查找网口_Linux查看网络端口
  8. 设置google浏览器不缓存JS
  9. hbid新建html标签不能用,hbhdjtx.html
  10. 关于python语言的浮点数类型_Python 浮点数数据类型详解(float)[学习 Python 必备基础知识][看此一篇就够了]...