设计源文件

`timescale 1ns / 1ps
module ymg(input s1,input s2,input s3,input [2:0] a,output [7:0] y);reg [7:0] y=0;
always @(s1 or s2 or s3 or a)
begin
if(s1&&~s2&&~s3)case(a)0:y=8'b11111110;1:y=8'b11111101;2:y=8'b11111011;3:y=8'b11110111;4:y=8'b11101111;5:y=8'b11011111;6:y=8'b10111111;7:y=8'b01111111;default:y=8'b11111111;endcase
elsey=8'b11111111;
end
endmodule

测试文件:

`timescale 1ns / 1psmodule ymg_t();
reg s1,s2,s3;
reg [2:0] a;
wire [7:0] y;
ymg ym(s1,s2,s3,a,y);
initial
begins1=0;s2=0;s3=0;a=0;#100s1=1;s2=0;s3=0;
end
always #100 a=a+1;
endmodule

仿真结果:

约束文件:

##Switches
set_property -dict { PACKAGE_PIN J15   IOSTANDARD LVCMOS33 } [get_ports { s1 }]; #IO_L24N_T3_RS0_15 Sch=sw[0]
set_property -dict { PACKAGE_PIN L16   IOSTANDARD LVCMOS33 } [get_ports { s2 }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1]
set_property -dict { PACKAGE_PIN M13   IOSTANDARD LVCMOS33 } [get_ports { s3 }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2]
set_property -dict { PACKAGE_PIN R15   IOSTANDARD LVCMOS33 } [get_ports { a[0] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3]
set_property -dict { PACKAGE_PIN R17   IOSTANDARD LVCMOS33 } [get_ports { a[1] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4]
set_property -dict { PACKAGE_PIN T18   IOSTANDARD LVCMOS33 } [get_ports { a[2] }]; #IO_L7N_T1_D10_14 Sch=sw[5]## LEDs
set_property -dict { PACKAGE_PIN H17   IOSTANDARD LVCMOS33 } [get_ports { y[0] }]; #IO_L18P_T2_A24_15 Sch=led[0]
set_property -dict { PACKAGE_PIN K15   IOSTANDARD LVCMOS33 } [get_ports { y[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1]
set_property -dict { PACKAGE_PIN J13   IOSTANDARD LVCMOS33 } [get_ports { y[2] }]; #IO_L17N_T2_A25_15 Sch=led[2]
set_property -dict { PACKAGE_PIN N14   IOSTANDARD LVCMOS33 } [get_ports { y[3] }]; #IO_L8P_T1_D11_14 Sch=led[3]
set_property -dict { PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports { y[4] }]; #IO_L7P_T1_D09_14 Sch=led[4]
set_property -dict { PACKAGE_PIN V17   IOSTANDARD LVCMOS33 } [get_ports { y[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5]
set_property -dict { PACKAGE_PIN U17   IOSTANDARD LVCMOS33 } [get_ports { y[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6]
set_property -dict { PACKAGE_PIN U16   IOSTANDARD LVCMOS33 } [get_ports { y[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7]

1. 3:8译码器的设计。(输入端3位地址输入端 ,8个输出,s1 s2 s3共同构成使能端)相关推荐

  1. 哈夫曼编/译码器的设计与实现(结合文件)

    文章目录 前言 一.问题描述: 二.数据结构设计: 1.课设要求: 2.具体实现: 三.功能(函数)设计 1.课设要求 2.具体实现: 四.界面设计 五.程序设计 1.流程图/程序思想详细介绍: 2. ...

  2. FPGA学习之路—应用程序—基于Verilog设计单总线8位ALU

    FPGA学习之路--基于Verilog设计单总线8位ALU 定义 ALU(arithmetic and logic unit) 算术逻辑单元,简称ALU,是计算机的数学运算核心,也就是负责运算的组件, ...

  3. Java版 设计一个程序, 输入三位数a, 分别输出个,十,百位

    1. 设计一个程序, 输入三位数a, 分别输出个,十,百位. (0<a<1000) 样例输入: 251 样例输出: 2 5 1 package package01; import java ...

  4. (83)FPGA减法器设计(多位减法器)

    (83)FPGA减法器设计(多位减法器) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA减法器设计(多位减法器) 5)技术交流 6)参考资料 2 FPGA入 ...

  5. 【VHDL】带使能端的同步复位的8位寄存器设计

    [VHDL]带使能端的同步复位的8位寄存器设计 程序: library ieee; use ieee.std_logic_1164.all;entity reg8 is port(clk,OE,RES ...

  6. 计算机组成原理快速加法器与32位ALU设计实验报告(8位可控加减法电路设计、CLA182四位先行进位电路设计、4位快速加法器设计、16位快速加法器设计、32位快速加法器设计)

    实验二 快速加法器与32位ALU设计实验 本次实验,进行了五个实验,分别是8位可控加减法电路设计.CLA182四位先行进位电路设计.4位快速加法器设计.16位快速加法器设计.32位快速加法器设计.这次 ...

  7. 数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器

    数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器 要求: 1.输入为两个4位二进制数,分别接四个逻辑电平开关,同时接数码管 2. 输出和LED相连 Multisim仿真:

  8. 番外6:ADS功放设计之使用Smith圆图确定输入与输出匹配的合理性

    番外6:ADS功放设计之使用Smith圆图验证输入与输出匹配的合理性 输入匹配和输出匹配在射频设计之中十分重要,在射频功放设计之中更是如此. 在射频功放的设计时,通过观察输入或者输出匹配的S参数性能, ...

  9. 带使能端的寄存器(verilog设计)

    分享一下带使能端的D触发器的设计 module reg_A(Ain, Clock, R, Q); input wire Ain; input wire Clock; input wire [15:0] ...

最新文章

  1. jmeter生成优美的压力测试报告,jmeter生成html压测报告,jmeter压力测试
  2. java一维数组的特点,数据结构:java数组特点以及声明数组类
  3. Python将函数作为闭包
  4. 2018年python工作好找吗-2018年Python发展前景如何?Python就业有哪些方向?
  5. 3. Markdown 语法教程
  6. C语言实现快速幂取模
  7. 微信小程序复制就好-JS工具eval5,用JS替换VBA处理Excel数据
  8. 阿里云短信服务SDK使用方法
  9. Oracle VM VirtualBox 使用教程,说实话也就那样吧
  10. php curl方式网页爬虫爬取原页面数据+simple_html_dom解析方式(php爬虫基础)
  11. php月考勤统计,考勤系统功能实现——统计
  12. Phaser3之 load
  13. illumina不愧是二代测序无冕之王
  14. 5.29 C语言练习(计算数字个数:从键盘输入一串字符,输出字符串里的数字字符数。)
  15. Java有序数组——原地去重——不使用额外空间
  16. 软考高级信息系统项目管理师如何备考?
  17. 三星A7100手机更换电池方法拆电池方法
  18. 如何安装 zlib-dev
  19. MySQL的二叉树、平衡二叉树、2,3查找树、B树、B+树索引
  20. AUTOCAD制图,如何给选中的图块编号呢?

热门文章

  1. 快速分析出圆通单号物流中含退的归类为退回件
  2. 如何优雅的编写git的提交信息
  3. 云原生爱好者周刊:比 runc 更神奇的容器运行时 - Sysbox
  4. Android毕业设计-微圈
  5. 平行越权漏洞挖掘-提高漏洞危害
  6. amixer 如何切通道_ALSA音频工具amixer,aplay,arecord
  7. CentOS安装SystemTap
  8. django-rest-framework序列化外键操作序列化
  9. c语言换行(c语言换行是什么意思)
  10. spring AMQP 中文文档翻译