时序电路定时与异步交互

  • 1.时序电路定时
    • 1.1触发器定时
    • 1.2时序电路定时
  • 2.异步交互
    • 2.1电路交互
    • 2.2同步

1.时序电路定时

1.1触发器定时


●在D锁存器中,电信号经过导线或三极管均需要时间,若输入在该时间之内变化,可能不能得到想要的输出或进入不定态。

●建立时间:在触发器的时钟沿到来前,输入数据必须保持在一个稳定状态的最小时间;称为建立时间(setuptime)。
●保持时间:在触发器的时钟沿到达后,输入数据需要继续保持在原状态的最小时间,称为保持时间(holdtime)。
●建立时间输入不变保证“主触发器”能正常锁存数据;保持时间输入不变保证“从触发器”能正常锁存从“主触发器”传来的数据。
●违约:不满足建立时间或保持时间称之为违约。

1.2时序电路定时

●若时钟周期太短,则一些信号发生变化会来不及通过组合电路到达触发器的输入。
●定时周期:
1).tp:时钟周期
2).tsetup:建立时间
3).thold:保持时间
4).tpd,FF:触发器传播延迟(通常tpd,FF大于thold)
5).tpd,COMB:组合电路的延迟
6).tslack:必须≥0(松弛时间)
tp = tpd,COMB + tsetup + tpd,FF + tslack
(thold包含在触发器传播延迟里,并且通常小于tpd,FF)

2.异步交互

2.1电路交互

●同步输出到同步:
1).如果时钟同步,则可以共用其中一个;
2).如果时钟异步,则输出信号必须与接收电路的时钟Y同步, 使用时钟Y(可能会降速)。
●同步电路输出到异步电路:同步电路的输出必须没有冒险。
●异步电路输出到同步电路:异步电路的输出信号必须与同步电路的时钟同步。
●异步输出到异步:存在理论缺陷,不予讨论。

2.2同步

●亚稳态:发生违约时,触发器内部的一个节点(一个内部节点或者要输出到外部节点)可能会在一个电压范围内浮动,无法稳定在逻辑0或者逻辑1状态,受到噪声扰动后会随机地变为0或1.
●电路同步的必要性:如果不考虑同步,有些输入信号可能还没有到达触发器或到达时间违约。
●在下图所示的电路中,RDY是一个异步信号,当他输入到同步电路中可能会违约。

●解决办法:
用接收电路的时钟对输入信号进行同步,从而保证输入信号有足够的时间到达触发器,保证输入信号到达触发器的时间不违约。

●由于RDY仍然是一个异步信号,所以仍然有可能使触发器进入亚稳态,一个简单的解决方法是串联多个触发器,增加电路对变化的响应时间,从而减少电路进入亚稳态的可能性。一般采用3个串联,一些商用设计采用6个串联。

第25讲 时序电路定时与异步交互相关推荐

  1. 第21讲 时序电路简介与储存原理

    时序电路简介与储存原理 1.时序电路简介 2.状态图.状态表 1.时序电路简介 ●时序电路:输出不仅依赖当时的输入,而且依赖过去的输入,又称有限状态机. ●为何学习时序电路: 1).对于输出与输入关系 ...

  2. 关于fpga的语言逻辑异步电路同步时序电路终于读懂了

    原文链接: http://m.elecfans.com/article/575270.html 从大学时代第一次接触FPGA至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表.抢 ...

  3. Verilog描述——异步时序电路与同步时序电路浅析

    异步时序电路与同步时序电路 时序电路可分为异步时序电路和同步时序电路两大类. 异步时序电路 若电路中触发器的时钟输入端没有接在统一的时钟脉冲上,或电路中没有时钟脉冲(如SR锁存器构成的时序电路),电路 ...

  4. 数字信号时序电路_数字电子中的时序电路

    数字信号时序电路 时序电路简介 (Introduction to Sequential Circuits) The word sequential circuit means "a circ ...

  5. EDA时序电路的Verilog设计

    时序电路通常都是由过程语句来描述的 时钟边沿敏感型(posedge,negedge).电平敏感型 触发器:时钟端要加上posedge,表示需要产生时序电路 用UDP也能描述时序电路,(01)表示信号是 ...

  6. quartus仿真35:D触发器和JK触发器构成的异步时序电路

    触发器没有使用相同的时钟信号,需要分析哪些触发器时钟有效哪些无效 分析步骤和同步时序电路一样,不过要加上时钟信号 有关D触发器的例题 抄自慕课上的一个题目,注意第二个触发器反相输出端同时连接到复位端 ...

  7. 同步时序电路和异步时序电路

    一. 定义 在同步时序电路中,各触发器的时钟端全部连接到同一个时钟源上,只有当时钟脉冲到来时,电路的状态才能改变.(注意不要求是同一时钟,而是同源时钟.所谓的同源时钟是指同一个时钟源衍生频率比值为2的 ...

  8. 第22讲 记忆元件与时序电路分析

    记忆元件与时序电路分析 1.记忆元件 1.1双稳态电路 1.2锁存器 1.2.1SR锁存器: 1.2.2带控制端的SR锁存器: 1.2.3带控制端的D锁存器: 1.2.4锁存器特点: 1.3触发器 2 ...

  9. 时序电路——DFF再理解

    时序电路--DFF再理解 之前对DFF的理解有些模糊,直到有次在实践中遇到了一些问题,含糊其词的也不能解决问题,于是乎就把DFF理解透彻透彻,毕竟这可是时序电路的基本概念. 不正确的理解 之前理解的, ...

最新文章

  1. 2022-2028年中国高阻隔片材基膜行业市场供需形势及前瞻分析报告
  2. python处理excel表格实例-python2 对excel表格操作完整示例
  3. 【iOS】图片缩放动画
  4. linux入门教程(七) linux系统用户以及用户组管理
  5. vs2012编译boost_1_54_0
  6. java 中怎么加汉字,jquery怎么向body里面增加文字
  7. C#获取网页内容的三种方式
  8. Perl 安装及模组安装方法【windows】
  9. Git学习系列(八)标签的管理及配置别名详解
  10. 转I give the orders around here.
  11. 5.从Paxos到Zookeeper分布式一致性原理与实践---使用ZooKeeper
  12. dbutils使用---QueryRunner(query_update)、BeanList\BeanHandler、MapList\MapHandler、ScalarHandler...
  13. Angular2开发拙见——组件规划篇
  14. 又一款代替PanDownload的百度网盘不限速下载工具利器 – 雷鸟下载
  15. ubuntu 解析控制 PS4手柄
  16. 网络安全——WEP实现无线局域网安全
  17. AASM rule of scoring sleep stages using EEG signal
  18. nodeBB项目的目录结构简介(nodeBB系列三)
  19. jFreeChart+itext生成带统计图的pdf文件
  20. 关于瑕点型反常积分的收敛性判别

热门文章

  1. 为什么IDEA比Eclipse更好
  2. Dell服务器上电自启动
  3. Cinemachine学习笔记
  4. 如何随意修改网页内容
  5. CSDN初体验,尝试完成一个自动扫雷程序
  6. [转] 浅谈脱壳中的附加数据问题(overlay)
  7. Thinker热修复
  8. UML2面向对象分析与设计期末复习知识点
  9. 用java实现歌曲串烧高速版(SequenceInputStream的使用)
  10. 基于神经网络(RBF)补偿的双关节机械手臂自适应控制