在进行数字电路设计的的时候,我们用Vivado写了一个工程,但是大家都知道Vivado自带的仿真是很拉胯的,信号多了就很慢很不方便,很容易卡死,所以就需要用VCS去进行仿真,有2种方法。
1.首先也是最重要的一步,你需要把Vivado的所有的IP编译成VCS可以识别的库文件,因为你的Vivado工程里面很可能用到了vivado的ip。Tool ->Compile Simulation Libraries;然后你需要选择用什么软件编译,这里选择VCS,language选择verilog,Library和Family你可以自己选择,也可以选择all;接着要选则你要把库放的位置,这个很重要,因为你以后要用到。最后点编译,全部编译时间有点儿长,服务器好的话需要20几分钟。如下图:

2.编译好库之后,选择File ->Export->Export Simulation,选择仿真器VCS,库文件的位置,注意这一个很重要,就是你第一步编译的库的位置,否则是不成功的,然后选择你导出的位置。如果你编译的库不全或者有问题,后面就会报缺少*.sim的错误。如下图:


3.在你选择的位置会出现一个vcs的文件夹,进去找到.sh文件,然后运行编译,运行命令
./name.sh,接着就会出现.fsdb的波形文件。当然可能会报错,进去Debug改正就好。至于编译的时候报错,你去改错的过程就不在这里赘述了。
4.最后用verdi打开刚刚生成的波形就好了,Verdi -ssf name.fsdb ,就可以进去看波形了。
5.需要注意的是编译的时候会报错,你在Debug的时候一定要从前往后看错误,因为没准后面的错误都是由前面引起的,最经典的错误是找不到simv文件和缺少*sim.setup文件,前者是因为编译错误没有生成,后者是因为你选用的库不对。

第二种方法:
1.设置仿真工具为VCS,在vivado工程的左边run simulatio右击,选择simulation设置,找到target simulation设置为VCS,设置仿真需要用到的库,这里complied library和前面第一种方法一样设置,库设置错误了一切白搭;
2.在左侧SIMULATION中,run simulation右击然后点run behavioral simulation开始仿真;
3.在工程下面找到sim/sim1/behave/vcs,在这个目录下分别依次运行以下4个文件,setup.sh,compiler.sh,elaborate.sh,simulate.sh;执行完这4个文件就完成仿真了;注意看是否有错误。
4.如果有生成fsdb波形t文件的命令则会在此文件夹下面生成fsdb文件,用verdi查看波形文件即可,命令参考方法一;

Vivado工程怎么用VCS仿真相关推荐

  1. VCS+Verdi脚本化仿真Vivado工程流程

    前言 前面的章节对VCS+Verdi与Vivado的联合仿真,从软件安装.VCS编译vivado仿真库以及直接通过Vivado界面export出VCS仿真文件夹,可直接执行仿真流程. 本文介绍使用另一 ...

  2. 【懒人专用】Python实现Modelsim独立仿真Vivado工程的自动化脚本

    引言 有两种方法可以使用 Modelsim 对 Vivado 工程进行仿真,即级联仿真和独立仿真.        级联仿真就是通过设置使 Vivado 调出 Modelsim 仿真界面并启动仿真,这种 ...

  3. modelsim独立仿真vivado工程

    由于现有笔记本带不动vivado自带的仿真工具,所以只能退而求其次,尝试用modelsim来仿真vivado工程.碰到这种软件问题,期间心酸,懂者自懂.故在此分享经验,以防不时之需,毕竟好记性不如烂笔 ...

  4. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  5. vivado中bit文件怎么没有生成_「超实用」一分钟学会用最小存储空间保存Vivado工程...

    在平常调试FPGA的过程中,大家会发现Vivado工程动辄数百兆大小,甚至几个G都很常见.如果调试的版本过多,就连几个T的硬盘也不够用.怎么办呢?其实,Vivado自带了一种使用tcl命令保存viva ...

  6. Verilog0.2:跑通第一个Vivado工程

    Verilog0.2:跑通第一个Vivado工程 在本文中,你将能学会: 学会基本使用Vivado 学会一些FPGA开发中的基本概念 用VScode代替Vivado写代码 接触到管脚约束及其细节 文章 ...

  7. 用telnet+openocd+jtag_dpi+vcs仿真调试RISCV的cpu

    目录 背景: 需要了解的基础知识,此处不做介绍: 如何连结和调试 一些中间过程需要关心,记录 背景: Server    :tcl+telnet SW         :openocd+JTAG_DP ...

  8. Vivado:【1】Vivado 2018.3 配置ModelSim仿真

    碎碎念: 想要之后尽量将更新频率提高一些!_(:з)∠)_ 众所周知Vivado其实自带了仿真工具Vivado Simulator,但是使用起来有些卡顿和延迟(尽管UI非常好看). 由于Vivado本 ...

  9. Synopsys VCS仿真编译选项

    VCS仿真编译选项 1. 扩展选项 2. 自带编译选项 1. 扩展选项 1. +vcs+line+wait:一直等待license. 2. +maxdelays/+mindelays:使用SDF文件中 ...

最新文章

  1. Hadoop使用常见问题以及解决方法
  2. android studio cmake opencv_Mac下安装及配置OpenCV环境(Xcode)
  3. [LeetCode] Two Sum
  4. 【Python 必会技巧】三元表达式(三目运算符)
  5. 在家过年这两天|多图
  6. jar文件导出和导入
  7. Markdown演示格式语法
  8. 对于Vue组件的初步认识(未整理)
  9. 【Hive】Caused by: MetaException(message:Hive metastore database is not initialized. Please use schema
  10. 高通android7.0模块编译.ko
  11. win7重新安装后删除文件权限不够(小技巧)
  12. 论文笔记_S2D.14-2014-NIPS_利用多尺度深度网络从单张图像预测深度图
  13. KCF跟踪算法 入门详解
  14. android 字体适配_移动端postcss-pxtorem rem适配方案
  15. 人眼分辨 PPI_1080P=2K,分辨率≠清晰度?关于显示器大多数人都错了
  16. 线程中task取消_c#异步编程-Task(一)
  17. html 好看表格样式,简单但是好看的表格样式
  18. 基于汇编与c语言的单片机原理及应用答案,基于汇编与C语言的单片机原理及应用...
  19. 华为HCIP RS题库221 401-410题
  20. word设置多级标题

热门文章

  1. [JZOJ3234] 阴阳
  2. 软件开发工具推荐 :Gow
  3. 多媒体个人计算机包括了什么部分,一个完整的多媒体计算机系统,应包含三个组成部分,它们是______。...
  4. VMware 安装 黑苹果 镜像下载地址 体验一下OS
  5. android4.4.4壁纸,基于4.4.4的dido OS 5.0系统
  6. 【离散数学】重点、教材及解答
  7. 线性光耦在电流采样中的应用
  8. 招标文件和竞争性谈判文件区别
  9. 大屏数据可视化设计指南!
  10. p沟道大电流mos管贴片_P沟道/N+P沟道中压大电流MOS