本节书摘来自异步社区《Cadence 16.6电路设计与仿真从入门到精通》一书中的第2章,第2.5节,作者: 王超 , 胡仁喜等 更多章节内容可以访问云栖社区“异步社区”公众号查看。

2.5 Design Entry HDL原理图图形界面

Design Entry HDL是Cadence公司自身的旧版软件Concept HDL,是设计环境支持行为和结构的设计描述软件,并综合了模块编辑功能,将原理图分成很多页,每次只显示1页。原理图中的所有元件都是参考不同的库,因此可以用归档功能将所用的库归档到一起。

在打开一个原理图设计文件或创建了一个新的原理图文件的同时,“Design Entry HDL”的原理图编辑器“Allegro Design Entry HDL”将被启动,即打开了电路原理图的编辑软件所处环境,如图2-32所示。


2.5.1 OrCAD Capture HDL界面简介
原理图设计平台同标准的Windows 软件的风格一致,包括从层叠式菜单结构到快捷键的使用,还有工具栏等。

PADS Logic 图形界面有9个部分,分别如下。

  • 标题栏:显示当前打开软件的名称及文件的路径、名称。
  • 菜单栏:同所有的标

《Cadence 16.6电路设计与仿真从入门到精通》——2.5 Design Entry HDL原理图图形界面...相关推荐

  1. python写cadance skill_《Cadence 16.6电路设计与仿真从入门到精通》——2.4 Design Entry CIS原理图图形界面...

    本节书摘来自异步社区<Cadence 16.6电路设计与仿真从入门到精通>一书中的第2章,第2.4节,作者: 王超 , 胡仁喜等 更多章节内容可以访问云栖社区"异步社区" ...

  2. python写cadance skill_《Cadence 16.6电路设计与仿真从入门到精通》——2.5 Design Entry HDL原理图图形界面...

    本节书摘来自异步社区<Cadence 16.6电路设计与仿真从入门到精通>一书中的第2章,第2.5节,作者: 王超 , 胡仁喜等 更多章节内容可以访问云栖社区"异步社区" ...

  3. 《Altium Designer 14电路设计与仿真从入门到精通》——1.4 Altium电路板总体设计流程...

    本节书摘来自异步社区<Altium Designer 14电路设计与仿真从入门到精通>一书中的第1章,第1.4节,作者:李瑞 , 耿立明著,更多章节内容可以访问云栖社区"异步社区 ...

  4. PSCAD V4.6电路设计与仿真从入门到精通

    PSCAD V4.6电路设计与仿真从入门到精通 帮助初学者学习PSCAD软件的应用,是一本具有很强指导作用的书籍,推荐给大家.

  5. Cadence Design Entry HDL 使用教程

    Cadence Design Entry HDL 使用教程 前言 cadence Design Entry HDL是cadence内部集成的一款板级的EDA设计工具,早期叫 concept HDL.其 ...

  6. 【Cadence 17.4电路设计与仿真笔记】02-元件库维护及原理图设计

    [说明]:本文内容包括: 参考资料: 1-Cadence OrCAD 原理图快捷键详解--廖光铖 2-Cadence Allegro 快捷键设置--吴川斌的博客 6-<Cadence Alleg ...

  7. 2)Cadence design entry hdl Tutorial原理图入门

    从最基本的步骤,新建项目开始. ##1.1项目的组成 参考库是包含原理图符号(sym)的库,显示在原理图上的元件,代表实际的器件,包含封装型号. a) Local libraries (design ...

  8. 爬虫从入门到精通(15) | 使用Python-OCR识别库对图形验证码进行识别

    文章目录 一.tesseract破解验证码 1.下载安装tesseract 2.python安装tesseract模块及其配置 3.demo测试 二.muggle_ocr破解和识别验证码 1.介绍 2 ...

  9. neo4j图数据入门(二)数据的插入和删除 图形界面操作 图文并茂

    点击下图中的按钮 点击下图中按钮 上图中的代码: CREATE (p1:Person {name:'胡兴炯', born:1991, interest:'mac,ios,旅游', goodat:'ja ...

最新文章

  1. 目标检测比赛中的tricks集锦
  2. python 驱动级鼠标_Python介绍、安装
  3. Pixhawk---通过串口方式添加一个自定义传感器(超声波为例)
  4. Multi-Range Read (MRR)原理
  5. Mapreduce,mapper任务无输出以及相关问题解决,日志的正确用法
  6. 前端读取文件图片信息流;js读取图片不同信息流;js读取图片;前端就js读取二进制数据;前端js读取文件流使用FileReader对象的readAsDataURL方法来读取图像文件;
  7. ADO.Net 精简的三层架构
  8. hibernate一对多双向关联中怎么配置list
  9. linux分区_如何扩展Linux系统根分区
  10. 【BZOJ】1010: [HNOI2008]玩具装箱toy(dp+斜率优化)
  11. 《统计学习方法》(李航)的学习体会(一)
  12. 复现KDL:使用Msnhnet实现串联机器人运动数值逆解(一)
  13. Android 启动页白屏 快速解决
  14. 2022 Apache IoTDB 物联网生态大会成功举办,见证工业数据已然创造的未来
  15. 第二十二章 opengl之高级OpenGL(几何着色器)
  16. 【网络-实验】恐怖的网络环路
  17. USB协议详解第6讲(USB描述符-端点描述符)
  18. python之Tkinter详解
  19. react + better_scroll 简单封装
  20. 编程模拟实现斗地主的生成牌、发牌和洗牌操作

热门文章

  1. Linux内核文件系统5
  2. Xilinx XDMA 数据传输sgdma 驱动代码分析
  3. 《网络安全0-100》VPN 讲解
  4. 信号频域特征提取 Matlab
  5. iris数据集可视化实战
  6. 【win+黑苹果双系统①】小新黑苹果图文安装教程
  7. 计算机AMD方案不超过4000元,4000元左右AMD R5-1400配RX570全新芯片显卡电脑配置推荐...
  8. linux网卡EC20型号,Linux系统下使用4G模块EC20实现拨号上网
  9. 安装 XAMPP 进行方便集成开发
  10. 区块链中的共识机制以及共识算法