抖动(Jitter)

偏斜(Skew)

{signal: [{name: 't1', wave: '1.0..1..0..1..0', period: 1, node: '.....a'},{name: 't2', wave: '10101', period: 3, node: '..b'}
], edge:['a~b skew'
]}

扇出

扇出:单个逻辑门能够驱动的数字信号数量。一个典型的TTL逻辑门有10个扇出信号
扇入:单个逻辑门被驱动的数字信号数量,扇入越大,表示该模块被更多的上级模块共享

静态时序分析

{signal: [{name: 'clk', wave: '01..0..1..0', period: 1, node: '.a.....h'},{name: 'clk_reg1', wave: '0.1..0..1..0', period: 1, node: '..b'},{name: 'data', wave: '2..3.....4..', period: 1, node: '...c', data: ['d1', 'd2', 'd3']},{name: 'comb', wave: '2...3.....4..', period: 1, node: '....d.....g', data: ['d1', 'd2', 'd3']},{name: 'clk_reg2', wave: '0.1..0..1..0', period: 1, node: '......e.f'},
], edge:['a~b Tclk1', 'b~c Tco', 'c~d Tcomb', 'd~e setup stack', 'e<-|->f Tsu', 'f~g Th', 'h~f Tclk2'
]}

  • a:launch edge,静态时序分析的起点,第一个寄存器的有效沿
  • f:latch edge,静态时序分析的终点,数据锁存的有效沿
  • Tclk1,Tclk2:时钟到达两级触发器的偏斜(skew)
  • Tco:Clock-to-Output Delay,有效沿开始后到数据从触发器输入到输出的时间
  • Tcomb:组合逻辑时延
  • Tsu、Tsetup:建立时间,触发器有效沿到来之前,数据需要保持稳定的最小时间
  • Th、Thold:保持时间:触发器有效沿到来之后,数据需要保持稳定的最小时间
  • Data Arrival Time:数据到达下一个触发器的时间,a + Tclk1 + Tco + Tcomb
  • Clock Arrival Time:时钟到达下一个触发器的时间,h + Tclk2
  • setup slack:建立时间裕量,数据要比有效沿早到Tsu,等有效沿到了,还要维持Thold,这样系统才是稳定的
  • setup slack = Clock Arrival Time - Data Arrival Time
  • setup slack = (T + Tclk2)-(Tclk1 + Tco + Tcomb - Tsu)>= 0,其中T是时钟周期

最高频率

  • (T + Tclk2)-(Tclk1 + Tco + Tcomb - Tsu)>= 0(1),数据要提前时钟有效沿Tsu到达
  • Tco + Tcomb <= Th(2),数据在有效沿来临后,从触发器输入端D传到输出端Q,要经历Tco(b->c),这段时间输入数据不能变,经历Tcomb(c->d),输入端D的数据也不能变,因为组合逻辑电平敏感,传过了组合逻辑才可以变化,所以(Tco + Tcomb)要小于保持时间
  • 频率越高,T越小,(Tco + Tcomb)要变小才能满足(1),(Tco + Tcomb)太小,不能满足(2),这个存疑,不过大多数时候是建立时间违背,也就是Tcomb太复杂,建立时间不够

建立时间违背时的解决方案

  • 降低频率,提高T
  • 调整布局布线
  • 修改组合逻辑,降低复杂度,插入流水线等
  • 重新设计时钟树
  • 改变工艺,减少器件或通路延时

https://wavedrom.com/editor.html
https://blog.csdn.net/fzhykx/article/details/80172034

010 静态时序分析相关推荐

  1. FPGA的设计艺术(3)静态时序分析

    前言 本文首发:FPGA的设计艺术(3)静态时序分析,我的易百纳技术社区专栏. 同行邀请消息:FPGA/IC Technology Exchange 什么是静态时序分析(STA)? 静态时序分析介绍 ...

  2. 静态时序分析的概念以及约束的作用理解

    何谓静态时序分析(Static Timing Analysis,简称STA) 它可以简单的定义为:设计者提出一些特定的时序要求(或者说是添加特定的时序约束),套用特定的时序模型,针对特定的电路进行分析 ...

  3. 【转载】静态时序分析

    1.   背景 静态时序分析的前提就是设计者先提出要求,然后时序分析工具才会根据特定的时序模型进行分析,给出正确是时序报告. 进行静态时序分析,主要目的就是为了提高系统工作主频以及增加系统的稳定性.对 ...

  4. 静态时序分析——基础概念

    一.简述 静态时序分析是检查系统时序是否满足要求的主要手段.以往时序的验证依赖于仿真,采用仿真的方法,覆盖率跟所施加的激励有关,有些时序违例会被忽略.此外,仿真方法效率非常的低,会大大延长产品的开发周 ...

  5. delay 芯片时序output_【第二章 STA概念 上】静态时序分析圣经翻译计划

    本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节介绍CMOS技术的基础知识以及执行静态时序分析所涉及的术语. 2.1 ...

  6. (108)FPGA面试题-介绍STA静态时序分析及其作用

    1.1 FPGA面试题-介绍STA静态时序分析及其作用 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-介绍STA静态时序分析及其作用: 5)结束语. 1 ...

  7. 以下不属于时序逻辑电路的有_静态时序分析圣经翻译计划——附录B:SDF

    本附录将介绍标准延迟标注格式,并说明了如何在仿真中执行反标. 延迟格式描述了设计网表的单元延迟和互连走线延迟,无论设计是用两种主要硬件描述语言(VHDL或Verilog HDL)中的哪一种所描述的. ...

  8. 中set无效是怎么回事_静态时序分析圣经翻译计划——第十章:鲁棒性检查 (中)...

    10.5 时钟门控检查 当一个门控信号(gating signal)可以控制逻辑单元中时钟信号(clock signal)的路径时,将会执行时钟门控检查(clock gating check),一个示 ...

  9. EDA实验课课程笔记(八 )——PT(Prime Time)简介(附录静态时序分析)

    这里的部图片引用尤老师时序分析公开课,B站可以找到. PT(Prime Time)简介 PT简介 相关概念 时钟偏斜(Clock Skew) 时钟抖动(Clock Jitter) 输入输出延时 建立时 ...

最新文章

  1. b360装服务器系统,B365主板能不能安装Win7系统 B365和B360主板区别对比介绍
  2. 【hdu 6342】Expression in Memories
  3. javascript 深度克隆
  4. 非公平锁和公平锁在reetrantlock里的实现过程是怎样的
  5. 本地存储localStorage用法详解
  6. Maven 3.0 RC1 发布
  7. Mac 终端 显示隐藏文件
  8. Codeforces_448C 分治
  9. 03. Use const whenever possible
  10. kindle paperwhite3 拆机越狱
  11. 计算机win7开超级性能模式,笔记本win10系统开启超级性能模式(卓越性能模式)的方法...
  12. 计算机设备显示感叹号,设备管理器有感叹号和问号未知设备的解决方法
  13. git cherry-pick 教程
  14. linux char大小,Linux基本数据类型大小——int,char,long int,long long int
  15. 【转】笔记本电脑开机电源指示灯亮,但黑屏,风扇不转,无任何运行迹象!...
  16. UE4使用贴花(Decal)
  17. 树莓派耳机接口有电流声、杂音
  18. Axure RP中后台管理系统通用原型模板
  19. 解决neural-renderer-pytorch编译安装问题
  20. HPE总裁兼CEO接受《财富》杂志专访

热门文章

  1. 【VTK】VTK框选表面拾取三角面片——通过观察者命令模式
  2. 点云/三角面片数据格式
  3. 如何修复mbr (转载,未测试)
  4. 微服务 tars php,TARS-PHP
  5. Deep Image Matting
  6. MySQL分布式集群搭建详解
  7. Microsoft store怎么重新安装
  8. Word2vec 原理解析
  9. vue路由实现原理总结
  10. 我的世界服务器自动刷矿机,我的世界自动刷矿机怎么制作 | 我的世界 | MC世界侠...