set_clock_groups有三个选项:-asynchronous,-logically_exclusive,-physically_exclusive,在使用时必须选择其中一个。

1、-asynchronous

异步时钟不需要做 timing check ,示例如图1,如果 C1 和 C2 这两个 clock 是异步的,那么一般是不需要 check 这条 path 的,此时就可以用如下命令来告诉工具:

set_clock_group -asynchronous  -group C1 -group C2

图1

2、logical exclusive

逻辑互斥,如果一个电路中有两个 clock,彼此间不存在timing check,例如,有一个选择信号控制的两个 clock,如图2,这样的两个 clock 是应该声明成 logical exclusive 的,命令如下:

create_clock -period 10 -name C1 -waveform {0 5} [get_ports C1]
create_clock -period 12 -name C2 -waveform {0 6} [get_ports C2]
set_clock_groups -logically_exclusive -group C1 -group C2

图2

这种情况出现除了使用set_clock_groups还可以使用set_false_path。

set_false_path-from [get_clocks C1] -to [get_clocks C2]
set_false_path-from [get_clocks C2] -to [get_clocks C1]

3、physical exclusive

物理层面的互斥,比如定义在同一个port/pin上的不同clock,如图3。此时C1 clock(F3)和C2 clock (F4)之间存在timing check,但是F1->F2之间的timing check,因为clock path经过MUX的选择,所以只能是C1->C1或C2->C2,不可能存在C1->C2或C2->C1,此时需要在MUX后定义两个generate clock,然后使用set_clock_groups -physically_exclusive对两个generate clock设置物理层面的互斥。

create_clock -period 10 -name C1 -waveform {0 5  } [get_ports C1]
create_clock -period 20 -name C2 -waveform {0 12} [get_ports C2]
create_generated_clock -name GC1 -divide_by 1 \-source [get_pins mux1/A] [get_pins mux1/Z] -combinational
create_generated_clock -name GC2 -divide_by 1 \-source [get_pins mux1/B] [get_pins mux1/Z] -combinational -add
set_clock_groups -physically_exclusive -group GC1 -group GC2

图3

4、SI分析

在做SI分析时-physical exclusive不分析group之间的串扰,-logical exclusive只分析timing window重叠部分的crosstalk,-asynchronous认为timing window无限大(infinite window:timing window完全交错,任意时刻都要做SI分析),此时的SI影响比较大。这部分可以参考PT的user guide。

静态时序分析—set_clock_groups相关推荐

  1. DC静态时序分析之时钟篇

    DC静态时序分析之时钟篇 博主微信:flm13724054952,不懂的有疑惑的也可以加微信咨询,欢迎大家前来投稿,谢谢! 引言介绍 在芯片设计或者FPGA设计里面,根据有无时钟,将电路设计分为时序逻 ...

  2. FPGA的设计艺术(3)静态时序分析

    前言 本文首发:FPGA的设计艺术(3)静态时序分析,我的易百纳技术社区专栏. 同行邀请消息:FPGA/IC Technology Exchange 什么是静态时序分析(STA)? 静态时序分析介绍 ...

  3. 静态时序分析的概念以及约束的作用理解

    何谓静态时序分析(Static Timing Analysis,简称STA) 它可以简单的定义为:设计者提出一些特定的时序要求(或者说是添加特定的时序约束),套用特定的时序模型,针对特定的电路进行分析 ...

  4. 【转载】静态时序分析

    1.   背景 静态时序分析的前提就是设计者先提出要求,然后时序分析工具才会根据特定的时序模型进行分析,给出正确是时序报告. 进行静态时序分析,主要目的就是为了提高系统工作主频以及增加系统的稳定性.对 ...

  5. 静态时序分析——基础概念

    一.简述 静态时序分析是检查系统时序是否满足要求的主要手段.以往时序的验证依赖于仿真,采用仿真的方法,覆盖率跟所施加的激励有关,有些时序违例会被忽略.此外,仿真方法效率非常的低,会大大延长产品的开发周 ...

  6. delay 芯片时序output_【第二章 STA概念 上】静态时序分析圣经翻译计划

    本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节介绍CMOS技术的基础知识以及执行静态时序分析所涉及的术语. 2.1 ...

  7. (108)FPGA面试题-介绍STA静态时序分析及其作用

    1.1 FPGA面试题-介绍STA静态时序分析及其作用 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-介绍STA静态时序分析及其作用: 5)结束语. 1 ...

  8. 以下不属于时序逻辑电路的有_静态时序分析圣经翻译计划——附录B:SDF

    本附录将介绍标准延迟标注格式,并说明了如何在仿真中执行反标. 延迟格式描述了设计网表的单元延迟和互连走线延迟,无论设计是用两种主要硬件描述语言(VHDL或Verilog HDL)中的哪一种所描述的. ...

  9. 中set无效是怎么回事_静态时序分析圣经翻译计划——第十章:鲁棒性检查 (中)...

    10.5 时钟门控检查 当一个门控信号(gating signal)可以控制逻辑单元中时钟信号(clock signal)的路径时,将会执行时钟门控检查(clock gating check),一个示 ...

最新文章

  1. avs php,【求助!】小白求个标准反交错AVS脚本和解答困扰我的几个问题!!
  2. javaScript事件(二)事件处理程序
  3. 计算机网络信息安全的含义,计算机网络信息安全内涵及其重要性
  4. 图像处理技术(三)白平衡
  5. ASP.NET Core on K8S深入学习(7)Dashboard知多少
  6. 微服务架构与SpringCloud
  7. 【AI视野·今日NLP 自然语言处理论文速览 第二十一期】Fri, 24 Sep 2021
  8. 实现文件中名词的统计计数_Python中的统计数据展示
  9. android客户端中间人攻击,Android 中间人攻击
  10. 创业阶段如何找客户_如何找创业合伙人
  11. 预防 Android Dex 64k Method Size Limit
  12. 浅读艾宾浩斯遗忘曲线
  13. android tif格式文件,后缀tif文件怎么打开(tif图片查看器安卓版)
  14. python 小说分析_Python起点小说数据分析
  15. 关闭colorbox刷新页面
  16. 大数据Python基础学习——练习(二)
  17. Android 硬件传感器
  18. # 独立开发变现周刊(第57期):开发一个免费照片编辑器,一个人每月收入10万美元...
  19. Win7下安装Ubuntu16.04成双系统
  20. 物权法全文内容有哪些呢-广告外链_有哪些网络推广免费平台

热门文章

  1. You can't specify target table 'dt_task_apply' for update in FROM clause sql 关联查询 并删除
  2. php属于复合型人才,企业呼唤一专多能复合型人才
  3. 天池-一起挖掘幸福感!
  4. Hive(二)基础教程
  5. Eclipse的egit插件冲突合并方法(转)
  6. 深入解读Logistic回归结果(一):回归系数,OR
  7. 【科研分享】如何通过论文学习并评价研究问题的价值
  8. 多伦多大学计算机专业校区,多伦多大学计算机专业 简单介绍多伦多大学三个校区...
  9. 承认《流浪地球》就那么难吗?
  10. 怀胎的历程及注意事项(zhuan)