【产品介绍】MAX V系列低成本和低功耗CPLD提供更大的密度和每占地面积的I/O。MAX V器件的密度从40到2210个逻辑元件(32到1700个等效宏单元)和多达271个I/O,为I/O扩展、总线和协议桥接、电源监控和控制、FPGA配置和模拟IC接口等应用提供可编程解决方案。

MAX V器件具有片上闪存、内部振荡器和内存功能。与其他CPLD相比,MAX V cpld的总功耗降低了50%,只需一个电源,可以帮助您满足低功耗设计要求。

144TQFP(明佳达电子)

【规格1】5M1270ZT144C5N IC CPLD 980MC 6.2NS 144TQFP

类型:嵌入式 CPLD - 复杂可编程逻辑器件

系列:MAX V

包装方式:托盘

可编程类型:系统内可编程

延迟时间 tpd(1) 最大值:6.2 ns

供电电压 - 内部:1.71V ~ 1.89V

逻辑元件/块数:1270

宏单元数:980

I/O 数:114

工作温度:0°C ~ 85°C(TJ)

安装类型:表面贴装型

封装/外壳:144-TQFP(20x20)

【规格2】5M1270ZT144A5N

可编程类型:系统内可编程

延迟时间 tpd(1) 最大值:6.2 ns

供电电压 - 内部:1.71V ~ 1.89V

逻辑元件/块数:1270

宏单元数:980

I/O 数:114

工作温度:-40°C ~ 125°C(TJ)

安装类型:表面贴装型

封装/外壳:144-LQFP

供应商器件封装:144-TQFP(20x20)

基本产品编号:5M1270

MAX V设备系列的特点:

低成本、低功耗、非易失性CPLD架构

即时启动(0.5 ms或更短)配置时间

待机电流低至25µA,快速下电/复位操作

快速传播延迟和时钟到输出时间

内部振荡器

模拟RSDS输出支持,数据速率高达200 Mbps

模拟LVDS输出支持,数据速率高达304 Mbps

四个全局时钟,每个逻辑阵列块(LAB)有两个时钟可用

用户闪存块最高可达8kbits,用于非易失性存储,最多可达1000个读写周期

单个1.8 v外置电源为设备核心供电

多伏I/O接口,支持3.3V、2.5V、1.8V、1.5V和1.2V逻辑电平

总线友好的架构,包括可编程转换速率,驱动强度,总线保持和可编程上拉电阻

Schmitt触发器支持噪声容忍输入(每个引脚可编程)

注:本文部分内容与图片来源于网络,版权归原作者所有。如有侵权,请联系删除!

5M1270ZT144A5N CPLD 980MC 6.2NS 144TQFP /5M1270ZT144C5N相关推荐

  1. 5M240ZT144C5N【CPLD】5M240ZT144I5N,5M570ZT100I5N满足低功耗设计

    MAX V设备系列的特点: 低成本.低功耗.非易失性CPLD架构 即时启动(0.5 ms或更短)配置时间 待机电流低至25µA,快速下电/复位操作 快速传播延迟和时钟到输出时间 内部振荡器 模拟RSD ...

  2. 初识FPGA(二)(FPGA与ASIC及CPLD的对比)

    目录 简述 ASIC和FPGA之间的比较 FPGA和CPLD之间的比较 简述 ASIC是英文Application Specific Integrated Circuits的缩写,即专用集成电路,是指 ...

  3. CPLD/FPGA的UART接口设计之系统时钟(晶振)和波特率关系

    UART(UniversalAsynchronous ReceiverTransmitter,通用异步收发器)是一种广泛使用的异步串行数据通信协议.目前大多数MCU.串口通信IC等芯片或模块均支持UA ...

  4. 记录一次血崩式的CPLD不稳定 bug经验

    -------------分界 北京地区,4月24日,一场大雨,来得异常突然,不同寻常的天气预示着不同寻常的事情要发生.妖气弥漫了帝都,一阵狂风飘过,收到报告,你写的这个CPLD程序门控有不正常了,又 ...

  5. 记录一次CPLD资源过少、时序伪例的解决办法

    文章目录 1.背景: 2.代码 3.心得 1.背景: CPLD虽然是几乎淘汰产品,但是体积非常小,而且不需要额外的EPCS存储器,所以完成简单的时序来说,也有尚存的一席之地. 这次使用的是MAX V系 ...

  6. [EDA]FPGA/CPLD 设计流程步骤及步骤概念

    EDA(以 FPGA/CPLD 设计为例)流程步骤 1.设计输入 2.全程编译 3.仿真验证 4.编程下载 5.硬件测试 设计输入 原理图/HDL文本编辑或其他输入方式把电路系统输入到EDA平台中. ...

  7. [转载]FPGA/CPLD重要设计思想及工程应用(时序及同步设计)

    来源:http://www.eetop.cn/blog/html/11/317611-13412.html 数字电路中,时钟是整个电路最重要.最特殊的信号. 第一, 系统内大部分器件的动作都是在时钟的 ...

  8. FPGA/CPLD状态机稳定性研究

    摘要 在FPGA/CPLD设计中频繁使用的状态机,常出现一些稳定性问题,本文提出了一些解决方法,实验表明该方法有效地提高了综合效率. 随着大规模和超大规模FPGA/CPLD器件的诞生和发展,以HDL( ...

  9. chipsel语言_用VHDL语言对FPGA和CPLD器件进行开发时应注意的事项

    第25卷第4期苏 州 大 学 学 报(工 科 版)Vol.25No.4 2005年8月JOURNA L OF SOOCH OW UNIVERSIT Y(ENGINEERING SCIENCE E DI ...

最新文章

  1. C++实现图片的base64编码
  2. 【大学物理】毕奥萨伐尔定律
  3. Expected one result (or null) to be returned by selectOne(), but found: 2
  4. Linux内核深入理解中断和异常(5):外部中断
  5. 斗地主系列之牌型判断
  6. 大数据平台及挖掘调研
  7. 2022年T电梯修理报名考试及T电梯修理最新解析
  8. 计算机芯片维修论文,中职院校计算机硬件芯片检测及维修的论文
  9. 跨国族群布里亚特的特殊“年味儿”
  10. Java实验:编写网络聊天程序(图形界面)
  11. 鸿蒙当中使用WebView报空指针的问题
  12. 微信小程序登陆验证机制理解及实现
  13. m031开发 新唐_基于新唐M031开发的激光测距仪方案
  14. VB如何生成EXE文件
  15. 前端必学——用JavaScript实现电商图片放大镜效果(附代码)
  16. cpp enum enum class
  17. 100题前端面试[题目+答案] -- 自用
  18. arcmap叠置分析_叠加分析
  19. 学生奖罚管理系统Java_学生管理系统
  20. 3-1.1、windows系统?

热门文章

  1. vue项目实现前端预览word和pdf格式文件
  2. (一)OSPF协议 及ospf与RIP的比较
  3. putty下载上传文件
  4. 故障码(DTC)状态解析
  5. 中链云&神算云 全球发布暨表彰盛典在深顺利召开
  6. 博途v15模拟量转换_TIA博途模拟量测量与工程量转换
  7. TDengine 入门教程⑧——数据库管理工具 | DBeaver 连接 TDengine
  8. 巴西龟饲养日志----巴西龟成长标志
  9. EduSoho网校系统产品更新:PPT动画转码
  10. python3.5变化(String)