数字逻辑实验经常会用到分频的功能,接下来我们将设计一个5000分频器。

下面代码由两个进程process组成。第一个process定义一个0~4999的变量,当有clk脉冲的时候,判断如果该变量等于4999,就令temp为0,否则temp加1。

第二个进程参数为clk和变量temp,当有clk脉冲时,判断,如果temp比2500小,那么输出clkout为1,否则为0。由此,输出的clkout即是我们分频的结果,可以拿去使用。

library ieee;use ieee.std_logic_1164.all;entity divider isport(clk:in std_logic;clkout:out std_logic);end divider;architecture art of divider issignal temp:integer range 0 to 4999;beginprocess(clk)beginif (clk'event and clk='1')thenif(temp=4999)thentemp<=0;elsetemp<=temp+1;end if;end if;end process;process(clk,temp)beginif(clk'event and clk='1')thenif(temp<2500) thenclkout<='1';elseclkout<='0';end if;end if;end process;end art;

数字逻辑 设计5000分频器相关推荐

  1. 【数字逻辑设计】核心知识归纳总结

    数字逻辑设计 概述 二进制 组合逻辑设计 时序逻辑设计 SR锁存器 D锁存器 D触发器 四位寄存器 带使能端的触发器 带复位功能的触发器 非稳态电路 同步电路与异步电路 有限状态机 时序逻辑的时序 并 ...

  2. 【数字逻辑设计】Logisim构建多路选择器

    多路选择器在数字逻辑设计中用途广泛,其逻辑表达式是: f = s ′ x 1 + s x 2 f = s'x1 + sx2 f=

  3. 数字逻辑计算机组成,数字逻辑设计与计算机组成pdf

    数字逻辑设计与计算机组成 内容简介 本书从简单的数字逻辑电路设计基础开始,由浅入深,讲解组合逻辑和时序逻辑电路的设计技术.计算机组成的基本原理和计算机体系结构的相关概念,后深入探讨了现代计算机系统如何 ...

  4. 数字逻辑设计大程——以撒的结合(Verilog语言)

    前言 在大二学习数字逻辑设计课程(即计算机组成课程的前引课)时,期末大程题目我和同组的github成员lwaekfjlk 决定写一款基于Verilog语言的游戏.原型是我们两人都非常喜爱的一款游戏-- ...

  5. 数字逻辑学习总结-MOOC数字逻辑设计

    目录 ·指令周期 一.数字逻辑基础 1.十进制与原码.反码.补码之间的转换 2.移位 3.操作符 按位与运算 按位或运算 按位异或运算 另外: x|=y; x&=~y 二.逻辑门和逻辑代数 1 ...

  6. 【数字逻辑设计】毛刺

    一个输入信号的改变可能导致多个输出信号的改变,这被称为毛刺或冒险. 多个输入上的同时变化也会导致毛刺,这些毛刺不能够通过增加硬件来避免,因为大多数系统都会有多个输入上的同时(或几乎同时)变化,所以毛刺 ...

  7. 《数字逻辑设计与计算机组成》一 第2章 2.1 简介

    第2章 Digital Logic Design and Computer Organization with Computer Architecture for Security 组合电路:小型设计 ...

  8. 《数字逻辑设计与计算机组成》一 第3章 3.1 简介

    第3章 Digital Logic Design and Computer Organization with Computer Architecture for Security 组合电路:大型设计 ...

  9. 数字集成电路设计(四、Verilog HDL数字逻辑设计方法)(一)

    文章目录 1.Verilog语言的设计思想和可综合特性 2. 组合电路的设计 2.1 数字加法器 2.2 数据比较器 2.3 数据选择器 2.4 数字编码器 2.4.1 3位二进制8线-3线编码器 2 ...

最新文章

  1. MySQL字符编码设置
  2. 如何训练大学生的工程实战能力
  3. 高中计算机考试编程题,2020年高中信息技术模块测试卷5(算法与程序设计) 信息技术试题(PDF版无答案)...
  4. 超级计算机在线解方程,量子计算机10秒可得超级计算机百年运算结果
  5. 【数学建模】线性代数知识汇总,参加建模大赛的小伙伴看过来,它会是你的最优选
  6. 语音识别:时间序列的匹配算法(Needleman-Wunsch 算法)
  7. SpringMVC的数据转换、格式化和数据校验
  8. Codrops 优秀教程:基于 CSS3 的全屏网页过渡特效
  9. Method for Discovering Network Topology中文翻译【Z-Stack Home 1.2.0开发文档】
  10. tensorflow使用object detection实现目标检测超详细全流程(视频+图像集检测)
  11. some tools
  12. LONG RAW转换BLOB
  13. 文件上传数据库,并下载(包含删除,根据条线查询等功能)
  14. 【100%通过率】华为OD机试真题 C++ 实现【简单的自动曝光】【2022.11 Q4 新题】
  15. 世界你好python_Python中的彩蛋(哈哈哈)
  16. 华中科技大学计算机本校保研,2018 华中科技大学计算机学院保研机试真题
  17. 胜不骄 败不馁 心胸似大海宽广
  18. 我的世界服务器修改logo,《我的世界手机版》如何修改界面logo斜体小黄字
  19. 苹果产品购买信息查询
  20. C#操作IIS程序池及站点的创建配置(转)

热门文章

  1. 05-安全点和安全域是什么?
  2. 【数据可视化从入门到精通】核心指标组件构建⑥
  3. 从操作系统历史看为什么要有多进程和文件操作?
  4. 动手学深度学习之词嵌入基础及进阶
  5. 推免资料(个人自述,简历、推荐信模板等)
  6. 豪华蔚来,困于销量?
  7. 编写了个videobuf-contig.c以获得物理上连续的大的内存(DMA)
  8. word中转pdf图片丢失问题
  9. 《数据分析核心知识点》.PPT
  10. 小程序 文字游戏 英雄打怪兽