大家好,好久不见。这段时间一直在搞课题,所以没有更新。刚刚结束毕设开题,之前的研电赛也有了结果。开题和研电赛都拿了不错的成绩,我还是比较满意的(笑)。十一假期刚过,今天是周一,打起精神向新目标迈进吧~
        这次我想学的是FPGA,准备做一下课题的工程验证(通信方向),也打算学习一些其他方向的内容。我将会持续更新笔记,一方面是为了巩固学习成果,另一方面也是为了督促自己。话不多说,我们开始吧~


一、学前准备

开发板:Altera Intel AC620

软件:Quartus 18.0

开发板是在淘宝小梅哥店铺买的,很多人应该都见过的,软件是通过其他途径安装的。


二、新建工程

先创建一个项目文件夹,之后在其中创建几个子文件夹,如下图所示

doc: 设计相关文档存放目录
img: 设计相关图片存放目录
rtl: verilog可综合代码
prj: 工程文件存放目录 其中ip文件夹存放quartus中生成的ip核文件
testbench: 对应的testbench存放目录

开始在Quartus中创建新的Project

1.创建工程。左上角File——New

2.路径选择。选择pri文件夹,输入Project名称

3.工程类型选择。选择空工程就可以,模板工程用的很少,用于开发新器件,比如mux10就会用到一些工程模板。

4.添加文件。如果之前有写好的设计文件,可以添加进来,我们现在没有。

5.相关设置。器件选择:AC620开发板对应的是Cyclone IV E FPGA;引脚数量:256;速度等级:8;选择EP4CE10F17C8

Name指的是器件型号,区别在于CE后面的数字,这里的10表示的是10K大小的逻辑单元;Core Voltage是内核电压;LEs是逻辑单元;Total I/Os是可使用的管脚总数,GPIOs也是这个意思;Memory Bits是存储器容量;Embedded multiplier 9-bit elements是嵌入式硬件乘法器单元数量;PLLs是锁相环;Global Clocks是全局时钟。

6.仿真工具和语言选择。

7.点击Next——Finish。

创建Verilog HDL 文件 

1.File——New

 

2.保存文件。File——Save As  保存在rtl文件夹中。 


三、二选一多路选择器设计

原理

二选一多路选择器很简单,两个输入、一个输出,由电平来选择哪个输入作为输出。

代码

编译

  

0 errors. 顺利运行,无错误。

查看编译报告

逻辑单元使用1个,寄存器0个,引脚4个(a,b,sel,out),虚拟引脚、存储器、乘法器和锁相环都是0个。

波形验证
1.File——New。

2.添加引脚。

3.设置激励(0/1)。

将a、b、sel设置为1

4.设置时钟。

a——10ns,b——20ns,sel——40ns

5.开始仿真。

出错:没安装ModelSim

所以想要进行验证,必须要安装ModelSim,在下一篇笔记里我会详细说明ModelSim的安装方法,之后再继续完成波形仿真。


结语

如果您对我的文章很感兴趣,可以关注我~您的关注是我更新的动力,谢谢!

FPGA学习笔记(一)——Quartus使用、多路选择器设计相关推荐

  1. FPGA学习笔记---用Quartus II生成输入输出框图

    在开始学习FPGA的时候,各个模块的代码都会编写,但是将几个模块组合要在一起使用的时候就比较容易犯迷糊,不清楚每个模块都有哪些端口,各个端口该怎么连接. 下面用一个简单的示例来说明一下,如何利用Qua ...

  2. 【FPGA学习笔记】Verilog语言的模块化设计

    在进行模块化设计中,对于复杂的数字系统,我们一般采用自顶向下的设计方式.可以把系统划分成几个功能模块,每个功能模块再划分成下一层的子模块:每个模块的设计对应一个module,一个module设计成一个 ...

  3. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  4. FPGA零基础学习:基于FPGA的多路选择器设计(附代码)

    FPGA零基础学习:基于FPGA的多路选择器设计(附代码) 大侠好,欢迎来到FPGA技术江湖.本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的& ...

  5. FPGA学习笔记(1)简单的时序逻辑电路——流水灯

    FPGA学习笔记(1)简单的时序逻辑电路--流水灯 编程语言为Verilog HDL 原理 (1)设计一个计数器,使开发板上的4个LED状态每500ms翻转一次.开发板上的晶振输出时钟频率为50MHz ...

  6. 小梅哥FPGA学习笔记

    小梅哥FPGA学习笔记 一.38译码器 功能: 译码器其任一时刻的稳态输出,仅仅与该时刻的输入变量的取值有关,它是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等).38 ...

  7. FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程

    FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程 很多做过单片机的朋友都知 道,我们在对MCU烧写完程序固件后,那么该程序固件就存储在了该MCU内部.即使MCU断电了再重新上电,程序 ...

  8. (20)FPGA多路选择器设计(第4天)

    (20)FPGA多路选择器设计(第4天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA多路选择器设计(第4天) 5)技术交流 6)参考资料 2 FPGA ...

  9. FPGA学习笔记(八)同步/异步信号的打拍分析处理及亚稳态分析

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

最新文章

  1. vuejs和html语言一样么,vue和vue.js有区别吗?
  2. linux php安装RabbitMq扩展
  3. enterText与typeText
  4. shell中的各种括号的使用方法
  5. 前端技术分享:一个超级好用的CSS样式表
  6. 用 subsetting 限制连接池中的连接数量
  7. HALCON示例程序measure_circles.hdev测量圆的半径
  8. android insmod命令,android的启动脚本(init.rc)文件的语法
  9. 性能测试工具Gatling
  10. python自动调整格式_pycharm使用技巧之自动调整代码格式总结
  11. 以太坊智能合约Java_以太坊:调用已部署的智能合约
  12. Hibernate延迟加载策略
  13. 分治算法——在真币中找出伪币
  14. 怎样让电脑中的jar包显示Java图标
  15. 一部手机最长能用几年?
  16. Mac 禁止插上手机自动启动iTunes
  17. 边缘计算研究热点地图
  18. android 得到屏幕尺寸 状态栏尺寸 标题栏尺寸
  19. 【定位设备】蓝牙信标接近距离的计算方式及其部署原则
  20. 悉尼大学商业数据科学与计算机学院,留学攻略—澳洲悉尼大学数据科学专业

热门文章

  1. 基于springboot实现人事管理系统演示【附项目源码】
  2. 4月跳槽进字节跳动了,面试真简单...
  3. java中正则表达式隐藏中文汉字
  4. 云开发小程序项目实战 二
  5. 终于弄明白了ThreadLocal
  6. 【Python】怎么在pip下载的时候设置镜像?(常见的清华镜像、阿里云镜像以及中科大镜像)
  7. 交流微电网仿真模型(包含PCS)【含个人笔记+建模过程】
  8. 中南大学计算机应用技术学院,中南大学第二届大学生信息安全应用创新大赛成功举办...
  9. ⑧ ESP8266 开发学习笔记_By_GYC 【ESP8266 驱动 BH1750 光强传感器】
  10. PHP CTF常见考题的绕过技巧