在verliog中有reg[7:0] mem[0:255]的写法,那么这跟直接用一个RAM有什么区别,就是FPGA中的M9K块资源能不能拿来当做寄存器使用,FPGA中的寄存器资源丰富吗?

FPGA的资源就是指查找表和寄存器,加上嵌入的RAM,DSP等硬核。RAM和reg当然是不同的,FPGA里面的RAM分成block RAM和distributed RAM,block RAM是嵌入的RAM块,就如你说的M9K,M4K;distributed RAM是分布式RAM,即用FPGA里的寄存器和查找表构成。也就是说RAM可以由reg构成,但是RAM不能直接当寄存器组用。比如你设一个reg[7:0] mem[0:255],在一个时钟里你可以直接访问所有的数据,但是RAM里面你一次只能访问一个地址的数据。还有RAM一般数据宽度不能设置太大,很消耗资源。合理的使用distributed RAM可以很好的减少FPGA资源使用。

verliog中有reg[7:0] mem[0:255]的写法,那么这跟直接用一个RAM有什么区别相关推荐

  1. 计算机中有符号数为什么规定0为正,1为负?而且为了方便数值比较大小,甚至引入移码【就是在补码的基础上,符号位取反而已】。那么问题来了,为什么不一开始就规定1为正,0为负呢?

    计算机中有符号数为什么规定0为正,1为负?而且为了方便数值比较大小,甚至引入移码[就是在补码的基础上,符号位取反而已].那么问题来了,为什么不一开始就规定1为正,0为负呢? 由上图知,移码在数值比较上 ...

  2. 网络地址192.168.10.0;子网掩码255.255.255.128 计算

    题目:网络地址192.168.10.0:子网掩码255.255.255.128(/25) 1.哪类地址,网络号多少位,主机号多少位,子网号多少位? 2.子网数=? 3.主机数=? 4.子网地址都是哪些 ...

  3. 问题:网络地址192.168.10.0;子网掩码255.255.255.128(/25)

    问题:网络地址192.168.10.0:子网掩码255.255.255.128(/25) 1.哪类地址,网络号是多少位,主机号是多少位,子网号多少位? 2.子网数=? 3.主机数=? 4.子网地址都是 ...

  4. verilog 浮点转定点_浮点数0.1+0.2为何不等于0.3

    来自公众号:印记中文 本文由扇贝的前端工程师景国凯撰写,跟随作者一起了解浮点数的计算过程,掌握为何会出现精度丢失的根本原因. 之前简单介绍了二进制下整数的加减乘除基本运算,建议没看过的先去了解一下,这 ...

  5. RAC分解步骤之一,在oracle linux 4u4上安装oracle 10.2.0.1.0操作日志

    练习oracle的rac组建过程,第一步,先练习4u4上安装oracle 10.2.0.1.0.直接安装rac,有些难度.从简单的做起.总RAC步骤,参照小布老师的RAC组建. 1. 启动vc,登陆v ...

  6. input 0.1无法相加_你真的知道0.1+0.2为何不等于0.3吗?

    打开chrome控制台,给一个特别简单的输入如下: 0.1 + 0.2 // 0.30000000000000004 复制代码 不知道你有没有吃惊,这么简单的一个计算,无论在js中还是在python中 ...

  7. 海思官方SDK Hi3516EV200_SDK_V1.0.1.0的编译教程

    前言: 关于海思HI3516EV200的SDK,官方有2个版本,一个是linux,一个是liteos,这里根据之前群友提供的sdk整理总结下,以防大家下载到错误的版本 linux sdk 版本为 Hi ...

  8. kafka中文文档(0.10.0)

    kafka中文文档(0.10.0) 作者:链上研发-老杨叔叔 时间:2016-07-22 版本:Apache Kafka 0.10.0 (2016年5月底发布) .目录 kafka中文文档0100 目 ...

  9. RAC11.2.0.3.0完整安装笔记与一些故障解决

    环境: 在64位RHEL 下安装virtualbox,并创建rac1.ad.com 和rac2.ad.com 主机,所以的都是使用64位版本 Oracle:11.2.0.3 64bit 0:设置时间同 ...

最新文章

  1. 编程模式 之美 -- 抽象工厂模式
  2. 建立循环单链表(头插法)
  3. Ping命令为什么要加上“-t”参数
  4. 《强化学习周刊》第10期:强化学习应用之计算机视觉
  5. 强化学习在机器人中的应用
  6. 多屏互动电脑版_MAXHUB无线双频同屏器HDMI连接投影仪手机电视机笔记本电脑投屏器多屏互动 MAXHUB传屏盒子WB01标配2个无线传屏...
  7. linux 16.04系统下载,ubuntu16.04下载|ubuntu 16.04 官方完整版-520下载站
  8. How to monitor your mobile application network traffic in your own LAPTOP
  9. 开机流程与主引导分区(MBR)——鸟哥私房菜
  10. 数据库:SQL 语法速成手册,建议新手收藏!
  11. 关于-32768补码的问题
  12. python 怎么调用 矩阵 第几行_python工厂第19层 多重列表1
  13. 程序员书单 (不定期更新)
  14. java reactor模式例子_JAVA BIO,NIO,Reactor模式总结
  15. SSRS 2012 高级图表类型 -- 气泡图与散点图
  16. myeclipse导入项目
  17. K3 Cloud 常用数据表整理
  18. NFT游戏系统开发(NFT元宇宙系统定制开发)
  19. ChineseBERT Chinese Pretraining Enhanced by Glyph and Pinyin Information
  20. CentOS7图形界面和命令行界面的切换快捷键

热门文章

  1. 要鲧?还是要禹?中华民族生存大问题!(转自四川新闻网)
  2. 计算机算法的概念教案,算法概念的教学
  3. 众昂矿业:萤石矿现状如何?
  4. 实习笔记: 实习第二天工作总结
  5. 2022-2028全球最后一英里无人交付行业调研及趋势分析报告
  6. win10相机可以使用,但是第三方软件(QQ、腾讯会议等)无法显示视频
  7. 手机版跑跑卡丁车RainbowCastle3
  8. Lync 2010 - Learning Plan
  9. 生成对抗网络(GANs)最新家谱:为你揭秘GANs的前世今生
  10. 详解:生产车间的作业流程分析与改善方法!