参考Diamond安装及配置安装好Diamond,如果遇到问题可以先看看Diamond安装常见问题解答。现在我们就可以使用Diamond软件开始FPGA的设计了,整个设计流程参照下图。

采用Diamond设计FPGA逻辑的基本流程

1 运行第一个例程


下面我们可以开始可编程逻辑的开发,我们以控制LED交替闪烁为例,完成自己的第一个程序:

  1. 双击运行Diamond软件,首先新建工程:选择File →New →Project →Next
  2. 工程命名:我们将新工程命名为LED_shining,工程目录G:/LED_shining,然后点击Next
  3. 添加相关设计文件或约束文件(如果已经有设计文件和约束文件,我们可以选择添加进工程):这里我们新建工程,没有相关文件,不需添加,直接Next
  4. 器件选择:按照Step FPGA开发板器件LCMXO2-4000HC-4MG132C配置,Next(器件型号必须确认正确,否则在管脚设置时会报错)
  5. 选择综合工具:Synplify Pro(第三方)和Lattice LSE(原厂)都可以,我们就使用Lattice LSE,直接Next
  6. 工程信息确认:上面选择的所有信息都在这里,确认没有问题,直接Finish
  7. 工程已经建好,我们下面添加设计文件, 选择File →New →File
  8. 选择Verilog Files(选择自己使用的硬件描述语言),Name填写LED_shining,然后点击New,这样我们就创建了一个新的设计文件LED_shining.v,然后我们就可以在设计文件中进行编程了
  9. 程序源码已经准备好,如下,将代码复制到设计文件LED_shining.v中,并保存。

    // --------------------------------------------------------------------
    // >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
    // --------------------------------------------------------------------
    // Module: LED_shining
    //
    // Author: Step
    //
    // Description: LED_shining
    //
    // Web: www.stepfpga.com
    //
    // --------------------------------------------------------------------
    // Code Revision History :
    // --------------------------------------------------------------------
    // Version: |Mod. Date:   |Changes Made:
    // V1.0     |2015/11/11   |Initial ver
    // --------------------------------------------------------------------
    module LED_shining
    (
    input clk_in,             //输入系统12MHz时钟
    input rst_n_in,           //输入复位信号
    output led1,              //输出led1
    output led2               //输出led2,与led1取反
    );
    parameter CLK_DIV_PERIOD=12_000_000; //分频常数定义
    reg clk_div=0;            //定义reg型变量,用作分频后时钟输出
    //wire led1,led2;           //wire型变量定义,可以省略,verilog里默认是wire型
    assign led1=clk_div;      //持续赋值语句,将分频后时钟赋给led1,产生闪烁效果
    assign led2=~clk_div;     //取反赋值给led2,与led1形成交替闪烁
    //偶数分频电路 clk_div = clk_in/CLK_DIV_PERIOD, 占空比50%,CLK_DIV_PERIOD必须为偶数
    reg[23:0] cnt=0;                 //分频用的计数器,2**cnt-1>CLK_DIV_PERIOD,计数器最大值要大于分频常数
    always@(posedge clk_in or negedge rst_n_in)
    beginif(!rst_n_in) begincnt<=0;clk_div<=0;endelse beginif(cnt==(CLK_DIV_PERIOD-1)) cnt<=0;else cnt<=cnt+1'b1; if(cnt<(CLK_DIV_PERIOD>>1)) clk_div<=0;else clk_div<=1;end
    end
    endmodule

  10. 程序编写完成,需要综合,在软件左侧Process栏,选择Process,双击Synthesis Design,对设计进行综合,综合完成后Synthesis Design显示绿色对勾(如果显示红色叉号,说明代码有问题,根据提示修改代码),如图
  11. 通过综合工具,我们的代码就被综合成了电路,生成的具体电路,我们可以通过选择Tools → Netlist Analyzer查看(仅限Lattice的综合工具,第三方综合工具无法查看),如图
  12. 综合生成电路后,分配管脚,选择Tools → Spreadsheet View,按照下图分配FPGA管脚,然后设置IO_TYPE为LVCMOS33,保存,界面如下
  13. 在软件左侧Process栏,选择Process,勾选所有选项,直接双击Export Files,所有布局布线输出依次完成,结束后,所有选项显示绿色对勾。

到这里完成了第一个程序流文件的生成,下面可以下载到FPGA中。

2 工程仿真


上面我们走了整个工程开发的过程,例程较为简单,对于复杂的工程开发需要预仿真和后仿真等,保证最终的程序设计逻辑和时序符合我们的设计要求。 仿真软件很多,这里我们使用软件自带的Active-HDL软件进行功能仿真:

  1. 首先我们添加testbench文件,和前面添加设计文件一样,File →New→File →Verilog Files,Name填写,然后New,
  2. 测试源码如下,复制到LED_test.v文件并保存。为了方便仿真,我们在LED_test.v调用LED_shining模块时将CLK_DIV_PERIOD重新赋值为20:

    // --------------------------------------------------------------------
    // >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
    // --------------------------------------------------------------------
    // Module: LED_test
    //
    // Author: Step
    //
    // Description: Testbench for LED_shining
    //
    // Web: www.stepfpga.com
    //
    // --------------------------------------------------------------------
    // Code Revision History :
    // --------------------------------------------------------------------
    // Version: |Mod. Date:   |Changes Made:
    // V1.0     |2015/11/11   |Initial ver
    // --------------------------------------------------------------------
    `timescale 1ns / 100psmodule LED_test;parameter CLK_PERIOD = 40;
    parameter CLK_DIV_PERIOD=20;  reg sys_clk;
    initialsys_clk = 1'b0;
    alwayssys_clk = #(CLK_PERIOD/2) ~sys_clk;        //产生周期为40ns的时钟激励,频率25MHzreg sys_rst_n;
    //产生一个初始100ns低电平然后变高电平的复位信号激励
    initial beginsys_rst_n = 1'b0;#100;sys_rst_n = 1'b1;endwire led1,led2;
    //module例化
    LED_shining #
    (.CLK_DIV_PERIOD(CLK_DIV_PERIOD))
    LED_shining_uut
    (
    .clk_in(sys_clk),       //传递时钟
    .rst_n_in(sys_rst_n),   //传递复位信号
    .led1(led1),            //传递输出led1
    .led2(led2)             //传递输出led2
    );
    endmodule

  3. 然后在软件左侧Process栏,选择File List,找到LED_test.v(必须保存过),点击右键,选择Include for →Simulation
  4. 准备工作完成,我们选择Tools →SimulationWizard →Next,
  5. 建立仿真工程,ModelSim和QuestaSim需要自行安装并与Diamond关联,才能直接调用,这里我们选择Active-HDL(默认),工程名称:LED_test,工程路径默认即可:然后点击Next,
  6. 选择RTL,然后Next
  7. 勾选Copy Source toSimulation Directory,然后Next
  8. 点击Next
  9. 点击Finish,等待仿真软件的自动运行并显示仿真时序
  10. 查看仿真结果

3 下载程序到FPGA

STEP MXO2 V2的编程芯片已经集成到小脚丫开发板上,因此只需要一根Micro USB线和电脑相连,就可以完成供电和编程的功能,驱动安装好以后就可以开始编译下载程序了。 将编译完成的程序下载到开发板:

  1. 将开发板、下载器和电脑连接,如图
  2. 选择Tools →Programmer,选择下载器HW-USBN-2B(FTDI),然后点击OK,
  3. 进入Programmer界面
  4. 在Programmer界面,点击右侧Detect Cable,自动检测Cable 显示HW-USBN-2B(FTDI),然后点击下图中Program
  5. 显示PASS,加载完成,观察StepFPGA的LED交替闪烁,成功了。

4 STEP MXO2入门教程


到这里我们了解了用Diamond软件进行开发的完整流程。接下来我们开始STEP-MXO2入门教程一步一步进入可编程逻辑设计。

Lattice开发教程-第一个例程相关推荐

  1. 三维虚拟电子沙盘开发教程第一课 利用WPF建立3d gis数字地球(非axhost方式)

    三维虚拟电子沙盘开发教程第一课 利用WPF建立3d gis数字地球(非axhost方式) 下一步新建一个wpf工程.然后引用SDK中的gislib.dll,NewGisBiao.dll如下图: 下面上 ...

  2. 全球首个微信应用号开发教程第一弹!

    微信应用号(小程序,「应用号」的新称呼)终于来了! 目前还处于内测阶段,微信只邀请了部分企业参与封测.想必大家都关心应用号的最终形态到底是什么样子?怎样将一个「服务号」改造成为「小程序」? 我们暂时以 ...

  3. [Minecraft]基于Forge的1.8.9MOD开发教程——第一讲:搭建开发环境

    (第一次写教程,有点小紧张呢..) 作者的话 我一开始学习MOD开发时,也曾在各大网站搜索过一些教程,感觉国内的MOD开发教程是真心的少:CSDN没找到,优酷.爱奇艺.腾讯.B站也几乎没有(除了优酷的 ...

  4. 【菠萝狗四足机器人】二次开发教程--第一章 【简介与开发环境搭建】

    Py-apple Dynamics 简介与开发环境搭建 1 简介 1.1 何为 菠萝狗 和 Py-Apple Dynamics 1.2 目前支持的功能 2 开发环境搭建 2.1 硬件的搭建 2.1 软 ...

  5. 我的世界bukkit服务器开发教程第一章——开发环境

    提示:如果图片看不见或者文字有乱码,请到我的网站:www.xiaoguaimao.cn 前言: 本教程共分为两个部分: 1.服务器插件开发 2.服务器专属启动器开发 如果你学过编程,那你会很轻松,如果 ...

  6. ETL工具之Kettle开发教程第一节-入门

    ETL简介 概念 ETL是数据抽取(Extract).转换(Transform).装载(Loading)的缩写. 数据抽取 数据抽取是指从数据源获取所需数据的过程.数据抽取过程会过滤掉目标数据集中不需 ...

  7. 81款国学游戏开发教程第一篇(诗词拼图)

    关于写81款国学游戏的初衷,一是为了传承国学,因为那是我们的根,也是未来人们可以创新和革命的根本.革命就是革新,革命的基础就是有命可以革.二是为了让那些想学习游戏开发的人提供参考,三是为了让自己写的游 ...

  8. IOS开发教程第一季之02UI进阶day8合并IOS学习019--敲击、长按、轻扫、旋转,CALayer、锚点,CADisolayLink刷新,核心动画,关键帧动画,组动画,转场动画,画板案例

    1.创建并实现手势的基本步骤 点击手势 #import "ViewController.h"@interface ViewController () @property (weak ...

  9. 精简版Abp开发教程 - 第一章: 创建解决方案

    前言 基于官方教程,前前后后走了N遍.好多地方不清楚,为什么会有这效果,有一堆的问号.哪些是必须的?哪些是不必须的? 经过N长时间的研究,自己也搭建多无数个精简的Abp解决方案.在这过程中,出现一堆莫 ...

最新文章

  1. 字节跳动小程序接入支付功能
  2. createsemaphore 异常_浅析SPC之异常分析
  3. 不安全的反序列化_CVE202027131 思科安全管理器反序列化漏洞 POC
  4. 4个空格和一个tab有什么区别_为什么有时候会放屁连连?这4个原因,一个都别放过...
  5. ArrayList(1.8)
  6. 高等数理统计(part7)--无偏估计
  7. knockoutjs总结
  8. SOLIDWORKS工程图导出DWG图纸时图层映射关系
  9. Gateway过滤器详解
  10. python安卓模拟器图像识别_Android模拟器识别检测技术
  11. 利用稀疏格式矩阵求解方程组以及机器学习训练速度对比
  12. 在Dreamwaver上基于HTML编写自己的
  13. OSI七层模型详解物理层、数据链路层、网络层、传输层.....应用层协议
  14. android 日历考勤管理,android studio中使用recyclerview制作个显示考勤打卡的日历来...
  15. 任意多边形的面积计算
  16. 外边距 - margin
  17. webgame qlj
  18. 任志强,商人不能总伤人
  19. 谁在押注“脱口秀直播带货”?
  20. 2021年全球与中国滚齿机行业市场规模及发展前景分析

热门文章

  1. 20年了,为什么CPU主频停滞不前?
  2. js奇淫巧计--常用总结
  3. 解决MSN无法登录问题
  4. libreoffice使用_使用LibreOffice作为您的开源预算工具
  5. 小米多看阅读器 pro 卸载自带京东阅读
  6. 常系数非齐次线性微分方程(两种常见形式)
  7. 店宝宝:淘宝开店详细教程!来自老卖家的建议
  8. 传统园区转智慧园区方面
  9. 如何像cnpm一样自定义命令
  10. 利用poi将Html中table转为Excel