提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

文章目录

  • 雷达PRI变化信号生成
  • 1.PRI参差信号
  • 2.PRI抖动信号
  • 3.PRI滑变
  • 4.部分代码

雷达PRI变化信号生成

在信号分选中,除了对常规固定PRI信号的分析,还存在大量PRI改变信号类型,包括重频参差信号、重频抖动信号和重频滑变信号。

1.PRI参差信号

参差重频模型采用两个或两个以上的PRI,通过顺序、重复的利用PRI集合中的PRI值产生脉冲序列,其主要应用来在MTI雷达中消除盲速或在一些搜索雷达中消除测距模糊。参差重频的脉冲TOA数学表达式为:
PRIn(t)=PRI0+tao∗mod(k+(i−1)∗g).\ PRI_n(t) = PRI_0 + tao*mod(k+(i-1)*g)\,.  PRIn​(t)=PRI0​+tao∗mod(k+(i−1)∗g).
其中,tao\ tao tao为脉宽,k,g均表示正整数,PRI0\ PRI_0 PRI0​表示基础PRI

(1)时域图

(2)频域图

2.PRI抖动信号

为了对付敌方的电子干扰,不断改变雷达新换的PRI,使其PRI像是在随机抖动,并且抖动量最大可能达到平均RPI的30%,其数学表达式为:
PRIn(t)=PRI0+β∗rand(1).\ PRI_n(t) = PRI_0 + \beta*rand(1)\,.  PRIn​(t)=PRI0​+β∗rand(1).
其中,β\betaβ为抖动量,PRI0\ PRI_0 PRI0​表示基础PRI

(1)时域图

(2)频域图

3.PRI滑变

PRI滑变,可以按照一定的方式进行PRI的变化,常见的包括正弦波、三角波、锯齿波变换

4.部分代码

clear
clc
%% PRI周期信号生成
c = 299792458; %%光速
PRF = 50e3;    %%脉冲重复频率
Time = 0.002;  %%仿真时间
Fs = 300e6;    %%采样率
Br = 60e6;     %%带宽
A = 0.8;       %%幅度
Fc = 10e6;     %%载频
Fd = 1e6;      %%频偏
Tao = 4e-6;    %%脉宽
TriggerType = '重频滑变';   %% 重频恒定 重频参差 重频抖动 重频滑变PRImin = 1/PRF; %%脉冲重复周期
Nnum = round(Time*PRF);    %% time时间内有多少个脉冲switch (TriggerType)case '重频恒定'for i=1:NnumPRI0(i) = PRImin;       %每个脉冲的PRI   endTOA = cumsum(PRI0);         %每个脉冲的到达时间TOA = TOA(TOA<Time);        %TOA在仿真时间内case '重频参差'M = 8;                    %%帧周期的脉冲数k = 4; g = 3;N = 1;                    for i = 1:M-1PRI0(i) = PRImin + Tao*mod((k + (i - 1)*g),M-1);          %%雷斯尼克参差信号方程endcase '重频抖动'PRIcenter = PRImin;         %%PRI中心值M = 16;                     %%帧周期的脉冲数N = 1;                      gama = 0.5;                 %%最大抖动范围for i = 1:MPRI0(i) = PRIcenter + gama * PRIcenter * ((rand(1) - 0.5)*2);endcase '重频滑变'BasePRI = PRImin;          %%PRI基础M = 8;                     %%帧周期的脉冲数N = 1;                     %%区分组内抖动和组间抖动, 若为1,则为组内抖动,若大于1,则为组间抖动,必须为整数且大于0Gama = 0.1;                %%最大滑变量CicleTime = 4.0e-3;        %%滑变周期W0 = 2*pi/CicleTime;       %%角频率SlipType = '三角波';  %滑变类型:正弦波、三角波、锯齿波PRI0 = zeros(1,M-1);  %真实重复周期for i=1:Mif (i==1)TT = 0;elseTT = sum(PRI0);endWaveSignal = GenSlipWave(1, TT, W0 ,SlipType);PRI0(i) = BasePRI + BasePRI*Gama*WaveSignal;endend

雷达PRI变化信号生成Matlab仿真相关推荐

  1. 步进频雷达的一维距离像matlab仿真

    步进频雷达的一维距离像matlab仿真 发射与回波信号模型 距离高分辨原理 仿真分析 不进行步进频高分辨一维距离像 进行步进频高分辨一维距离像 代码 发射与回波信号模型   步进频率信号发射得的是一串 ...

  2. matlab 窄带高斯过程仿真,噪声干扰信号的Matlab仿真.doc

    雷达对抗实验报告 实验题目:噪声干扰信号的Matlab仿真 院 系: 信息科学与工程学院 班 级: 通信2班 姓 名: 宋曜辰 学 号: 1003060230 指导教师: 噪声调幅.调频.调相信号的M ...

  3. matlab 调幅频谱ifft,噪声干扰信号的matlab仿真.doc

    噪声干扰信号的matlab仿真.doc 雷达对抗实验报告实验题目噪声干扰信号的MATLAB仿真院系电子与信息工程学院班级姓名学号指导教师实验时间2012年6月雷达对抗技术实验报告第1页噪声调幅.调频. ...

  4. matlab产生雷达信号,用matlab仿真雷达信号

    雷达信号分为单载频,线性调频,多项编码信号等,本代码用matlab仿真了各种雷达信号 1.[代码]matlab仿真代码 clc;clear; D=2*pi*rand; f0=8e6; A=1; T=2 ...

  5. 基于LMS自适应滤波器的QPSK信号均衡器matlab仿真

    目录 1.算法概述 2.仿真效果 3.MATLAB仿真源码 1.算法概述 在自适应滤波器设计中,最小均方(Least Mean Square,LMS)算法使用随机梯度下降的方法实现代价函数的最小化,具 ...

  6. matlab 信号生成,Matlab产生信号的方法

    实验一 常用信号的Matlab 表示及运算 一.实验目的 1.掌握Matlab 中表示信号的方法. 2.掌握Matlab 中信号运算的实现方法. 3.掌握在Matlab 中画信号波形的方法. 二.实验 ...

  7. 常用雷达信号的matlab仿真_常用的雷达信号:基于DDS的线性调频信号的产生

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  8. 基于小波变换的脉搏信号滤波matlab仿真

    目录 1.算法仿真效果 2.MATLAB源码 3.算法概述 4.部分参考文献 1.算法仿真效果 matlab2022a仿真结果如下:

  9. 【源码】心电图ECG信号的MATLAB仿真

    心电模拟器的目的是产生不同导联和尽可能多的心律失常的典型心电波形. The aim of the ECG simulator is to produce the typical ECG wavefor ...

最新文章

  1. php中htpt,PHP中的HTTP协议
  2. Web应用虚拟目录的映射的几种方式
  3. python 对excel文件进行分词并进行词频统计_教你背单词 | 利用python分析考研英语阅读并生成词频降序表...
  4. delphi7存取配置文件与sqlserver数据库连接_Delphi7存取配置文件与SQLServer数据库连接...
  5. flex 瀑布流 (多列样式column布局内容被截断)
  6. Spring中的Aop底层原理
  7. Flutter学习笔记02:初探Flutter项目
  8. vue 页面跳转404_Vue 项目棘手问题的解决方案
  9. 60-150-044-使用-Sink-Flink自定义RetractStreamTableSink
  10. PHP直接输出一张图片
  11. linux日志报multipath,linux device mapper multipath 驱动的路径聚合
  12. 第一篇:一个win32控制台程序
  13. c++编写手机小游戏代码_24个c++游戏源码
  14. Linux之镜像下载
  15. windows7 android 驱动,Windows7安卓刷机驱动安装教程图文详解
  16. cpu测试用什么软件,cpu测试工具有哪些(免费分享这5款测试软件)
  17. 从零开始搭建我的网上商城 - Pika商城
  18. matlab求最值(极值)
  19. Qt QComboBox详解
  20. b java 之 serviceLoader详解 serviceLoader.load(XXX.class)

热门文章

  1. Lunix基础终端控制器操作
  2. 通过python获取自己的手机话费_Python批量获取并保存手机号归属地和运营商的示例...
  3. Neon intrinsics
  4. 信息安全系统设计基础实验四—20135215黄伟业20135222胡御风
  5. java:上传微信临时文件的素材
  6. 基于单片机的秒表计时器系统设计(#0400)
  7. unity保存游戏对象到mysql_Unity实现游戏存档框架
  8. erp接口,打单软件,订单管理软件,旗帜接口,备注接口,旗帜接口,打单接口,发货接口
  9. 三招轻松辨别微博含水量!提升广告主投放效果
  10. 【应急响应】驱动人生供应链木马攻击2019.1.30变种木马分析