序列信号1011101共7位, 则电路共需要7个有效状态: 可先用同步置数法将74LS161设计为同步7进制计数器.

(由于multisim7中只有74163, 故这里用74163替代74161) 如此设计后, 电路共有7个状态(都是有效状态)0000、0001、0010、0011、0100、0101、0110, 且按照0000->0001->0010->0011->0100->0101->0110变化. 在设计输出信号时, 只需要用到74163的低三位输出即可.

在完成同步7进制加法计数器的设计后, 我们再设定输出变量Z, 以向外界提供输出序列信号.

根据题目的要求, 要产生序列序列信号1011101, 须向下表示填充真值表:

QC QB QA Z
0 0 0 1
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 ×

用4选1数据选择器74153实现Z的逻辑表达式:

Z=QC'QB'QA'+QC'QBQA'+QC'QBQA+QCQB'QA'+QCQBQA'

=QC'QB'QA'+QC'QB(QA'+QA)+QCQB'QA'+QCQBQA'

=QC'QB'QA'+QC'QB·1+QCQB'QA'+QCQBQA'

令QC接74153的B(高位), QB接74153的A(高位)

=m0QA'+m1·1+m2QA'+m3QA',

∴C0=C2=C3=QA', C1=1.

按照上述分析实现的电路原理图如下图示:

设计一个序列信号发生器, 产生序列信号1011101. 用74LS161和4选1数据选择器74153及必要的门电路实现相关推荐

  1. 试用D触发器和4选1数据选择器74153设计一个双向3位移位寄存器, 具体要求见下表(A、B为控制端), 左移串行输入信号为L, 右移串行输入信号为R

    A B 输出 0 0 保持原状态 0 1 右移 1 0 左移 1 1 清零 根据题目的要求, 绘制状态表. 三位双向移位寄存器状态表 A B Q0* Q1* Q2* 输出 0 0 Q0 Q1 Q2 保 ...

  2. (C++)设计一个日期类Date,包括年、月、日等私有数据成员。要求实现日期的基本运算,包括某日期加上指定天数、某日期减去指定天数、两个日期相差的天数等。

    C++面向对象程序设计课后作业第239页第5题 题目要求:设计一个日期类Date,包括年.月.日等私有数据成员.要求实现日期的基本运算,包括某日期加上指定天数.某日期减去指定天数.两个日期相差的天数等 ...

  3. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  4. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

  5. 扫频信号设置 matlab,如何利用usrp2和matlab2013a结合,设计一个扫频信号发生器?...

    1.购买Ettus N210,LFTX子板,LFRX子板(对于本用途似乎用不到,不过也可以用来验证试验结果) 2.安装Matlab支持的Packages: http://www.mathworks.c ...

  6. 设计一个串行数据检测电路. 当连续出现4个和4个以上的1时, 检测输出信号为1, 其余情况下的输出信号为0(设计1111序列检测电路)

    题目: 设计一个串行数据检测电路. 当连续出现4个和4个以上的1时, 检测输出信号为1, 其余情况下的输出信号为0. 分析: 从题目的表述中, 我们获取到信息, 连续出现4个或4个以上的1时, 输出信 ...

  7. 设计一个灯光控制逻辑电路. 要求红、绿、黄三种颜色的灯在时钟信号的作用下按表P6.30规定的顺序转换状态

    题目: 设计一个灯光控制逻辑电路. 要求红.绿.黄三种颜色的灯在时钟信号的作用下按表P6.30规定的顺序转换状态. 表中的1表示"亮", 0表示"灭". 要求电 ...

  8. 试用74LS194加74151设计一个从Q3端输出100111序列信号的序列信号发生器, 要求能够自启动, 电路越简单越好

    对于序列信号100111, 选择三位: 100->001->011->111->111->110->100->...... 有重复状态出现, 不能作为设计方案 ...

  9. Verilog 序列信号发生器的三种设计思路

    文章目录 前言 一.由移位寄存器构成 二.由移位寄存器和组合逻辑电路构成 三.由计数器构成 前言 在数字电路中, 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号.能产生这种信号的逻辑器件 ...

  10. 用D触发器和必要的门电路设计一个2位双向移位寄存器. 具体要求见下表(A、B为控制端), 左移串行输入信号为L, 右移串行信号为R

    A B 输出 0 0 保持原状态 0 1 右移 1 0 左移 1 1 清零 在开始分析前, 我们要理清以下四个概念: ①保持原状态: 在下一系统CLK信号来临时, 寄存器状态不变. ②右移: 设寄存器 ...

最新文章

  1. 九种东西吃多会...
  2. 调多大的角度 计算几何
  3. 使用SQLiteHelper创建数据库并插入数据
  4. 【PTA】浙大版《C语言程序设计(第3版)》题目集
  5. printf的缓存问题
  6. im2col函数解析
  7. [面试] C++ 语法(一) —— 初始化列表的初始化顺序
  8. 解决Oracle 11g在用EXP导出时,空表不能导出
  9. mac mysql57 配置文件_Mac 的mysql5.7没有配置文件,如何解决only_full_group_by 问题
  10. 5个开发人员不应该错过的最好跨平台PHP编辑器
  11. node.js 微信小程序 部署服务器_微信小程序云开发如何上手
  12. MAC快捷键使用大全
  13. 网际风全推数据接口_网际风接口软件
  14. 陈强老师公开课笔记1——如何区别中介效应、调节效应与交互效应?
  15. POC会成为下一个POW吗?
  16. 100个开源C/C++项目中的bugs(一)数组和字符串处理的错误
  17. 使用数字陷波器滤除工频信号
  18. 简单集成美团热更新RoBust
  19. 北斗三号短报文终端在大坝安全监测方案的应用
  20. 32位计算机内存最大多少,32位系统到底支持多大的内存呢

热门文章

  1. linux版本怎么安装qq游戏,QQ for Linux
  2. Java初学01:学习路线,韩顺平java教程百度云
  3. druid-1.0.9 jar包 下载
  4. JavaScript的学习指南
  5. 《Redis设计与实现》知识点目录
  6. c语言中药品管理系统报告,C语言 药品管理系统.doc
  7. win10字体模糊设置
  8. layer数据加载中,loading的显示
  9. 经典合成器插件 – LennarDigital Sylenth1 v3.067 WiN
  10. 我的世界java 4k_我的世界:原版VS“4K光影”牺牲2块显卡,让你看看差距有多大!...