在进行仿真时我们首先应该确定自己的仿真软件是否配置好了,如果仿真软件没有配置好,那么是没办法仿真的。仿真软件有Modelsim modelsim-Altera等。

在quartus中配置仿真软件:

将下载的仿真软件路径添加到location of executable中即可。

在设计FPGA时,要求我们有仿真的波形图,所以我们需要进行波形仿真,下面就介绍一下波形仿真如何进行。

第一步:在工程下建立.vwf文件并完成波形设计

file ->new 选择vwf

按照上述四个步骤就可以将实验中的输入输出端口添加到波形文件中。 完成上述操作后我们就可以对输入信号波形进行设计,然后进行仿真。

在设计波形时我们经常使用的就是以下几个信号。

下面简单介绍一下。

未知状态。

高阻状态。

weak low

weak high

上下颠倒:将你选中的信号选择的状态上下颠倒一下。1->0 , 0->1

时钟信号。

在生成波形时,为了能够按照需要生成自己想要的任意波形,我们可以任意选中一段波形去对其进行设计。

选中自己想要设计的波型后就可以对这一段进行波形的设计。

这样能够方便我们去仿真我们想要仿真的波形。去验证模块中小的功能。

在实验中我们可以改变时间轴的长度:

第二步 波形仿真

在完成相应的波形设计后我们就可以进行仿真

quartus使用教程:波形仿真相关推荐

  1. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  2. Quartus II 13简易仿真教程

    Quartus II 13简易仿真教程 编译项目 新建vwf仿真文件 已知的bug 编译项目 新建vwf仿真文件 filr>new>verification/debugging files ...

  3. 超详细 quartus 新建工程 及 quartus 和 modelsim 联合仿真 以及 modelsim 的简易教程

    文章目录 一.新建工程 1. 新建工程 2. 添加源文件以及ip核并编译 添加代码文件 添加IP核 编译 3. 自动生成testbench文件并添加路径到工程中 生成testbench文件 添加tes ...

  4. Quartus2入门的一个波形仿真的实例教程

    文章目录 一.题目描述 二.创建工程 1.菜单栏:File->New Project Wizard 2.选择Device family 三.创建BDF文件:排列元件 1.BDF文件介绍 2.创建 ...

  5. quartus和modelsim联合仿真详细教程

    利用quartus和modelsim联合仿真的功能,实现功能产生波形.详细步骤如下: 1.编辑verilog HDL语言 本次拟实现组合逻辑功能,其代码如下: 此为一组合逻辑电路,其原理图可在quar ...

  6. 利用quartus II自带的仿真工具进行简单的波形仿真

    利用quartus II自带的仿真工具进行简单的波形仿真 按照常规程序点击Run Functional Simulation后出现错误,猜测可能是未选择正确的仿真工具,因为这里可能默认的仿真工具是mo ...

  7. matlab暂态信号,MATLAB6在电力暂态波形仿真实现中的应用

    1概述现代继电保护不但要测量电力系统稳态情况下的特性,还要测量电子系统暂态情况下的特性.对绝大多数保护装置来说,不可能利用实际电力系统的人工短路试验来检验其性能.继电保护试验设备应具有仿真能力,能模拟 ...

  8. quartus13波形仿真

    一直再用FPGA做些相对简单的应用 ,比如实现一个寄存器了,扩展几个IO了,由于比较简单,就没有系统的学习详细的仿真过程,使用的也很少,不过仿真原理我是知道一二的, 感觉写testbench太浪费时间 ...

  9. matlab 编程波形图,实验一MATLAB编程环境及其常用信号的生成及其波形仿真.doc

    word格式精心整理版 范文范例 学习指导 实验一 MATLAB编程环境及常用信号的生成及波形仿真 一.实验目的 1.学会运用Matlab表示常用连续时间信号的方法 2.观察并熟悉这些信号的波形和特性 ...

最新文章

  1. 伪官宣:Envoy 中文指南新鲜出炉
  2. MySQL数据库管理(二)单机环境下MySQL Cluster的安装
  3. c gui qt 4编程第二版_面试官问Linux下如何编译C程序,如何回答?为你编译演示
  4. Linux 查看进程的命令
  5. java设置事务锁_事务与锁
  6. 转:Python- 解决PIP下载安装速度慢
  7. 网和aoe网的区别_【专定网】你知道到亚克力鱼缸与超白缸的区别吗?测评结果给你答案...
  8. JY游戏之毁经典《扫雷》
  9. 白日门传奇手游源码端
  10. 单元测试报告软件测试,软件单元测试报告-模板
  11. 软件测试基础理论全集
  12. linux恢复误删除文件
  13. Docker 端口映射到宿主机后, 外网无法通过ip访问对应宿主机端口
  14. python如何计数while循环次数_While循环计数
  15. C语言六边形蜂巢数组,生活中的数学之奇妙的蜂窝构造
  16. 小程序 订阅消息 原来就是如此
  17. Amplify Shader Editor 案例解析系列——(1)2Sided
  18. Emotiv EPOCFLEX 32导脑电仪数据准确导入EEGLAB
  19. 夏天吃西瓜10大禁忌必须知道
  20. Revit-常见问题汇总

热门文章

  1. 中投民生:药茅、猪茅、酱茅集体大跌超30%,茅20时代终结?
  2. 亲密关系沟通-【边界感】-梳理边界感的沟通方法
  3. 2023年最新程序员接单平台汇总
  4. MySQL数据库之DDL语言:库和表的创建CREATE、修改ALTER、删除DROP
  5. 同程艺龙云原生 K8s 落地实践
  6. msn错误以及解决方法
  7. Ruby‘s Adventure 学习笔记—— 场景搭建
  8. 数据传送指令----mov(笔记)ATT
  9. 评温斯坦的炒股书(非常重要,常看看)
  10. 2016 西普杯丶天津CTF预选赛(3/6)