ModuleSim Verilog同步置数、同步清零的计数器实验

#全文复制可运行,经验证无错

你好! 这是你第一次使用 ModuleAim同步置数、同步清零的计数器实验

如果这是你第一次项目,推荐一个哔站10分钟的视频,手把手带你从建立到完成,看完后再复制我代码即可运行。
【【教学】modelsim独立仿真】 https://www.bilibili.com/video/BV1Eg4y1z7Hf?share_source=copy_web&vd_source=7ad1628d08bfd89388ae0ec2897cffc3

count.v文件

module count (out,data,load,rest,clk);
output[7:0]out;
input[7:0]data;//注意不能对输入进行赋值操作
input load,rest,clk;
reg[7:0]out;
always@(posedge clk)//clk上升沿触发
beginif(!rest)out=8'h00;//h16进制,同步清零,低电平有效
/*当时钟是上升沿,且rest是低电平时,将out置零*/    else if(load)out=data;//同步预置
/*当时钟是上升沿,且rest是高电平,load是高电平,out=data*/else out=out+1;//计数
end
endmodule

count_tb.vt文件

`timescale 1ns/1ns
module count_tb();reg clk,rest,load;
reg  [7:0] data;wire [7:0] out;count count_inst(.clk(clk),.rest(rest),.data(data),.out(out),.load(load));//?????initialbeginclk = 0;rest = 0;load = 0;data = 2;//???????4endalways  #10 clk = ~clk;//?10ns????always @(posedge clk)beginload = 1;rest = 1;endalways @(negedge clk)beginload = 0;if(out==5)load=1;endendmodule

编译运行

1,找到文件
2,运行
3,把每个信号加入到波形显示窗口

4,波形显示成功

ModuleAim Verilog同步置数、同步清零的计数器实验相关推荐

  1. 异步清零和同步置数/清零的区别

    同步,异步是对于时钟而言的. 同步指的是,状态的变化需要等待时钟有效沿来触发,所有动作同时跟随这个时钟变化,而异步时,状态变化不依赖与时钟. 异步清零说的是,你需要对一个计数器在满足某种条件时想要他归 ...

  2. VHDL设计一个同步置数、异步清零的D触发器

    设计一个同步置数.异步清零的D触发器,其引脚名称和逻辑功能如下表所示. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ddddd IS PORT ...

  3. 同步电路出现异步清零可以吗_异步清零和同步清零置数区别

    [相关知识]:中规模集成计数器的功能表阅读.反馈清零法和反馈置数法的应用等.本文引用地址:http://www.eepw.com.cn/article/201610/311031.htm [解题方法] ...

  4. 同步置数与异步清零的区别

    同步,异步是对于时钟而言的. 同步指的是,状态的变化需要等待时钟有效沿来触发,所有动作同时跟随这个时钟变化,而异步时,状态变化不依赖与时钟. 异步清零说的是,你需要对一个计数器在满足某种条件时想要他归 ...

  5. c语言实现同步四位加法计数器,试用4位同步二进制加法计数器74161才用置数法构成三进制计数器...

    一是用时钟触发器和门电路进行设计: 二是用集成计数器构成.集成计数器一般都设有清零输入端和置数输入端,且无论是清零还是置数都有同步和异步之分,例如清零.置数均采用同步方式的有集成4位二进制同步加法计数 ...

  6. 74160ENT引脚设计法+同步置数法接成365进制加法计数电路

    之前已完成了使用ENT引脚设计法接成1000进制加法计数电路这一任务, 现在只需将设计好的1000进制加法计数电路稍加修改, 便可构成365进制加法计数电路. 题目: 将用ENT引脚设计法接成的100 ...

  7. 同步电路出现异步清零可以吗_异步计数器 || 计数器的分类 ||异步二进制十进制|| 74290 8421 5421 || 数电...

    异步计数器 || 计数器的分类 || 异步 二进制 十进制 || 74290 || 数电 这一节介绍异步二进制计数器. 计数器功能: 计数器是对输入脉冲个数进行计数的时序电路. 计数器除了直接用于计数 ...

  8. 74160ENT引脚设计法+同步置数法接成60进制加法计数电路(设计方案2)

    由于74160的状态到达1001时, RCO引脚变为高电平, 所以可以说74160的状态到达1001和其RCO引脚变为高电平是等价的: 基于这一点, 我们可将低位74160状态到达1001等价变换为低 ...

  9. 74160ENT引脚设计法+同步置数法接成24进制加法计数电路

最新文章

  1. git.exe 启动 慢_拳头:今年将修复英雄联盟客户端 启动时间降到19秒
  2. 第二十二回  基础才是重中之重~ThreadStatic静态字段在每个线程里的唯一性
  3. java poi3.15 ppt,Apache POI PPT - 演示( Presentation)
  4. leetcode算法题--重排链表★
  5. Web开发兼容性系列文章(一):不同设备浏览器的userAgent值大全
  6. 用户太多太杂,搞不懂怎么办?“分门别类”将拯救你
  7. 如何使用Apache Drill分析高度动态的数据集
  8. layui 父页面弹框中获取子页面的内容
  9. Android开发必用工具及其进阶途径
  10. 深度学习案例之基于 CNN 的 MNIST 手写数字识别
  11. 达观数据个性化推荐系统实践
  12. php pdo查询sqlserver,php pdo sqlserver分页sql的处理
  13. 【心电信号】基于matlab心电信号特征提取+分析处理【含Matlab源码 289期】
  14. Unity下载安装详细教程
  15. 浙江省计算机二级办公软件高级应用技术真题,浙江省计算机二级办公软件高级应用技术考试题库.doc...
  16. CRMEB商城公众号H5前端模板修改,nodejs使用教程
  17. PowerManagerService 电源管理架构初识
  18. 彩信文件服务器,彩信服务器怎么设置
  19. hau 3037 Saving Beans【Lucas定理】
  20. 从银行、保险到证券,揭开大数据在金融行业的应用

热门文章

  1. 谈话准备.xmind 思维导图模版
  2. java之三元运算符_Java三元运算符
  3. Feign的调用原理及其源码分析
  4. redis缓存相关注解
  5. 一篇入门深度学习OCR:数据集和算法合集
  6. linux ssh 密钥配置
  7. 【数据库】数据库命名规范
  8. Android基本控件之RadioGroup(实现选中互斥功能)
  9. 长文对话实录:国内物联网10年沉浮,AIoT技术如何破局?| AIoT+智慧城市峰会...
  10. 了解inode,软链接和硬链接