分频器是FPGA常用的一种时序电路,在各种的小项目中应用的尤为常见,今天李老湿哦给大家讲讲分频器之偶数分频。

基本原理:**偶数倍分频是最简单的一种分频模式,完全可通过计数器计数实现。如要进行N倍偶数分频,那么可由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数,以此循环下去。这种方法可以实现任意的偶数分频。例4-13给出的是一个参数型偶数分频电路,通过调用该模块可实现任意偶数分频。
不多扯淡了 直接上代码,用的软件是VIVADO2017.4.
底层代码如下:

在这里插入代码片`timescale 1ns / 1ps
module divf(clk,clk_N,reset_n);input clk,reset_n;output reg clk_N;
parameter N=6;reg [7:0] p;
always @(posedge clk or negedge reset_n)beginif (!reset_n)beginp<=1'b0 ;clk_N<=1'b0;endelse if(p==N/2-1)   //6分频begin p<=0;clk_N<=~clk_N;endelsep<=p+1;end
endmodule
顶层代码:
`timescale 1ns / 1psmodule divf_top(clk,clk_12,clk_10,reset_n);input clk,reset_n;output clk_12,clk_10;divf# (12) divf_12(     //  #代表传递参数,传递底层的N.clk(clk),.reset_n(reset_n),.clk_N(clk_12));divf# (10) divf_10(.clk(clk),.reset_n(reset_n),.clk_N(clk_10));endmodule仿真代码`在这里插入代码片`module devf_TB;reg clk,reset_n; wire clk_10,clk_12;initial beginreset_n=0;#20;reset_n=1;clk = 1'b0;
end
always#10 clk = ~clk;   //输入时钟为50MHZ
divf_top dut
( .clk (clk),//.clk_12 (clk_12),   //10分频.clk_10 (clk_10),.clk_12 (clk_12),.reset_n (reset_n) );endmodule仿真结果 ![大家自己一定要亲手做做哦](https://img-blog.csdnimg.cn/20190510101527881.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MjI5NzMwOA==,size_16,color_FFFFFF,t_70)

FPGA中的分频器-偶数分频相关推荐

  1. (95)分频器设计(偶数分频)

    (95)分频器设计(偶数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(偶数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

  2. 4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;

    题目来源于牛客网,完整工程源码:https://github.com/ningbo99128/verilog 目录 VL37 偶数分频 VL40 奇数分频(占空比50%) VL42 奇数分频(任意无占 ...

  3. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  4. 分频器的Verilog实现(偶数分频、奇数分频)

    偶数分频器的Verilog实现 核心思想 对于占空比为50%.分频系数为N的偶数分频,其核心思想是使用计数范围为[0, (N/2)-1]的计数器,每当计数器计到最大值时输出时钟翻转一次,其余时间保持不 ...

  5. 二、12【FPGA】分频器 —— 奇偶分频

    前言 学习说明此文档为本人的学习笔记,注重实践,关于理论部分会给出相应的学习链接. 学习视频:是根据野火FPGA视频教程--第十四讲 https://www.bilibili.com/video/BV ...

  6. 数字IC手撕代码-分频器(任意偶数分频)

    前言: 本专栏旨在记录高频笔面试手撕代码题,以备数字前端秋招,本专栏所有文章提供原理分析.代码及波形,所有代码均经过本人验证. 目录如下: 1.数字IC手撕代码-分频器(任意偶数分频) 2.数字IC手 ...

  7. (33)FPGA分频设计-偶数分频(第7天)

    (33)FPGA分频设计-偶数分频(第7天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA分频设计-偶数分频(第7天) 5)技术交流 6)参考资料 2 ...

  8. 分频器——秒分频、三分频、五分频、任意分频和偶数分频

    文章目录 1.占空比 2.秒分频计数 3.偶数分频 4.奇数分频 1. 占空比不为50% 2. 占空比为50% 5.任意分频

  9. 小数分频器vhdl实现_基于FPGA的小数分频器的设计与实现.doc

    基于FPGA的小数分频器的设计与实现.doc 基于FPGA的小数分频器的设计与实现 [摘要]本文首先分析了现有小数分频器的优缺点,在此基础上提出了一种改进型小数分频器的设计方法.同时结合VHDL文本输 ...

最新文章

  1. .net中对HTTP请求的两种请求:Get和Post的操作
  2. extjs获取焦点和失去焦点_[NBA夏联]焦点单三连红,NBA夏季联赛同样精彩
  3. ETL(数据仓库技术)
  4. openCVPracticalExercise学习笔记01
  5. 如何高级地对抗恶意程序的糖衣炮弹?
  6. 开发者的实用 Vim 插件(二)
  7. SVN客户端使用详细
  8. 以4%参数量比肩GPT-3!Deepmind 发布检索型 LM,或将成为 LM 发展新趋势!?
  9. Keil与ADS软件冲突问题解决办法
  10. STM32应用笔记分类汇总,值得收藏
  11. 学术论文检索--搜索引擎篇
  12. 树莓派CM4_5G扩展板搭载展锐国货5G在Kali系统下免驱即插即用演示
  13. GBase 8c产品家族及功能简介
  14. 头歌华氏度和摄氏度的换算
  15. 在Maven项目中使用tk-mybatis(不结合SpringBoot)
  16. TypeScript 中slice(-1)是什么意思?
  17. Chrome 70 将继续在地址栏隐藏 www
  18. Netica用于构建贝叶斯网络教程
  19. Excel sumproduct用法
  20. 基于51单片机可调PWM发生器

热门文章

  1. APP安全性测试总结-移动APP安全测试
  2. Android JNI 编译so库
  3. 进制数值转换(附带小数点的进制转换)
  4. HDU 3132 Taunt Exposure Estimation(数学)
  5. 中国互联网企业的研发之路——与腾讯研究院院长郑全战一席谈
  6. 不敢说最快!但是这款CRAS C700绝对是我们见过最漂亮的SSD
  7. matlab和eigen在旋转向量,欧拉角,四元数,旋转矩阵转换的对比(一 旋转矩阵转其他)
  8. mysql余额统计_实现按部门月卡余额总额分组统计的SQL查_mysql
  9. BTA | 陈建闽(阿德):Token的分散过程,一定要基于场景
  10. 科班腾讯阿里云的高质量面试题