Modelsim使用方法

啦啦啦~接上一篇文章,今天来讲讲Modelsim的使用方法。
(1)打开Modelsim,如果是第一次使用请看(2),不是就看(3)

(2)如果是新手,首先要在File—>New—library,新建一个我们自己的库,命名为work。这里我已经新建过work了,演示的是新建work1。

(3)建立好work library后,点击FIile—>New—>project。今天给大家示例的是一个二分频数字电路,在相应的地方输入工程的名字和存储工程的路径,有良好习惯的小可爱可以先在work文件夹中建立好相应的工程文件夹,建立工程时将路径设置为对应文件夹。

(4)建立好工程后点击OK,会出现下图:

这里小可爱们如果要仿真现有的文件可以点击Add Existing File,新建的则点击Create New File。
(5)点击Create New File后,出现如下界面:

这里就是输入我们建立文件的名字,Modelsim默认语言是VHDL,今天我们使用Verilog,在Add file as type 的下拉菜单中可以选择相应的语言。选择好之后点击OK。一个.v文件就建立好了。
(6)接下来我们还要再建立一个测试文件。同样点击Create New File,操作如(5),只不过这次文件名称为FP2_TEST。
(7)建立好两个文件后,首先在FP2.v文件中编写我们的代码,方法是双击文件名即可打开相应.v文件,如果有小可爱打开是用altium designer软件打开的话,可以在文件夹中找到.v文件,右键,打开方式,选择Modelsim,将默认用该应用打开.v文件的勾选框勾上即可。
(8)然后再以同样的方法编辑FP2_TEST文件。
(9)记得编辑好每个.v文件后要手动点击保存。
(10)编写好相应文件后,点击compile——>compile all,Modelsim就会编译相应文件,没有编译的文件State处会显示蓝色的问号,编译通过的文件是绿色的√,编译没有通过,出错的文件是红色的×,如同下图。

如果编译有错,则双击下方Transcript中提示出错的语句,会有错误提示,根据错误提示去修改代码,会比较容易。

(11)修改好错误后,再次编译,直到通过。

(12)通过后就可以进行仿真啦。点击simulate——>start simulation,出现如下窗口,选择我们的测试文件,将enable optimization前的勾勾去掉,点击OK。

然后Modelsim就会开始仿真啦。
(13)仿真之后,就要查看波形。在objects窗口中选中要观察的波形,右键add to——>Wave——>selected signals,就出现我们的波形窗口。

一般窗口是没有波形的,点击窗口上方的run,波形即出现,同时run旁边的窗口可以设置仿真时间。
下图就是我们二分频文件的仿真波形。

OK,完结~~~~~~二分频的代码和测试文件在下一篇文章当中给出噜!!!!!!!!有问题的可以一起交流哇!!!!

Modelsim使用方法相关推荐

  1. 在quartus 和 ISE 里直接调用modelsim的方法(转)

    http://blog.sina.com.cn/s/blog_697e8abb0100muog.html 在quartus 和 ISE 里直接调用modelsim的方法 在这个论坛中我学到了很多东西, ...

  2. Modelsim初级使用教程

    一. Modelsim简介 Modelsim仿真工具是Model公司开发的.它支持Verilog.VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且 ...

  3. 最实用的Modelsim初级使用教程

    今天给大侠带来最实用的Modelsim初级使用教程,话不多说,上货. 一. Modelsim简介 Modelsim仿真工具是Model公司开发的.它支持Verilog.VHDL以及他们的混合仿真,它可 ...

  4. Ubuntu 22.04 LTS安装Modelsim SE 2020.4

    前言 教程中的部分内容参考自易特创芯论坛,鉴于论坛相关资料有些零散.安装步骤繁琐或是存在错误.部分原理性问题未解释清楚人云亦云.导致为入门者带来了困难等原因,为此梳理出一篇相对完整的教程 准备工作 · ...

  5. 【swjtu】数字电路实验7_8_电子琴设计

    一. 实验目的 1 . 了解基本的乐理知识. 2 . 学习电子设备发声原理. 3 . 巩固 ModelSim 仿真方法. 二. 基本实验内容 电子琴采用与钢琴相似的键盘作为弹奏界面,由电子线路产生乐音 ...

  6. 基于modelsim-SE的简单仿真流程—上

    基于modelsim-SE的简单仿真流程 编写RTL功能代码 要进行功能仿真,首先得用需要仿真的模块,也就是RTL功能代码,简称待测试的模块,该模块也就是在设计下载到FPGA的电路.一个电路模块想要有 ...

  7. ModelSim6.3 使用教程By Sunev

    一. Modelsim简介 Modelsim仿真工具是Model公司开发的.它支持Verilog.VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且 ...

  8. FPGA图像工程师的六脉神剑——“选扫掌仿习练”

    图像工程师的六脉神剑--"选扫掌仿习练" --不是每个人都能成为一代宗师,因为在一个时代宗师只有少数人,成不了宗师,可以选择成为门派高手,立足武林的六合一之路无外乎秘密武器.江湖规 ...

  9. win10服务器+QuestaSim10.6安装+报错fatal license error :Unable to checkout a viewer license

    今日在远程安装安装QuestaSim时遇到一个问题,按照在本地安装的方式激活,但在远程使用的时候,怎么都打不开. 解决方案,在license里面每一行都加上TS_OK(我在每行的sign2前加入了TS ...

最新文章

  1. HTML5 Geolocation
  2. 推荐11个第2职业挣大钱的公众号!第5名一年涨8万粉丝!
  3. Android开发之底部导航栏标准
  4. 4K60帧视频实时抠图,连头发丝都根根分明
  5. Fedora 35安装 VMware Workstation 16.1.2并解决报错:efore you can run VMware several modules must be compiled
  6. wxpython开发的软件_Python GUI开发环境的搭建(wxPython)
  7. 32销售是合理的引导用户购买
  8. js从数组中删除指定值(不是指定位置)的元素
  9. Vue基本操作及运行截图总结
  10. Pyotorch自定义损失函数
  11. webrtc项目编译报错(image not found)
  12. 一次小黄车的二维码还原过程
  13. iOS 【iOS-切换控制器的手段及异同】
  14. php汉字转拼音插件,PHP中文转拼音优质解决方案-composer转拼音第三方插件-爱测速网...
  15. 基于深度学习的语义分割
  16. 【QT项目】简易五子棋游戏(人机对战)— 完整源码
  17. AndroidManifest.xml参数
  18. 去除摩尔纹,治愈强迫症, 来卷网盘赛
  19. python演唱会抢票脚本_基于selenium的大麦网演唱会抢票程序
  20. mysql存储过程基础写法

热门文章

  1. matlab谐波电流测量,基于MATLAB的谐波电流检测方法的建模与仿真
  2. IT行业--想象力是创造的源头,凯文·米特尼克文章的启发
  3. 计算机默认的dns地址怎么找,怎么设置电脑的IP地址与DNS地址
  4. Flash+XML实现电子地图查询及定位功能
  5. JAVA社交平台项目第七天 JUC多线程
  6. matlab 空间方程,matlab - 如何从MNA方程组到MATLAB中的状态空间[A,B,C,D] mimo? - 堆栈内存溢出...
  7. U V风和真实风向风速
  8. mysql管理员权限哪个表_Mysql 用户权限管理(权限列表)
  9. Star ccm+ 算例演示
  10. 深入分析网络编程中踩过的坑