1.结构语句:

initialalways

initial 语句在它的模块中只执行一次

它常用于测试文件的编写,用来产生仿真测试信号,或者用于对寄存器变量赋初始值。

always语句一直在不断地重复活动。但是只有和一定时间控制结合在一起才有用。

always也可以是多个信号,多个信号中间要用关键字or连接。

always语句后紧跟的过程块是否运行,要看它的触发条件是否满足。

沿触发的always块常常描述时序逻辑行为

由关键词or连接的多个事件名或信号名组成的列表称为“敏感列表”。

电平触发always块常常描述组合逻辑行为。

如果组合逻辑块语句的输入变量太多,那么编写敏感列表会很繁琐并且很容易出错。

@(*) 表示对后面语句块中所有输入变量的变化都是敏感的。

2.组合逻辑与时序逻辑:

根据逻辑功能的不同点,可以将数字电路分为两大类:

组合逻辑电路

时序逻辑电路

组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来状态无关

时序逻辑电路:任意时刻的输出不仅取决于当时的输入信号,而且还取决于电路原来的状态。或者说还与以前的输入有关,因此时序逻辑必须具备记忆功能。

3.赋值语句:

Verilog HDL 语言中,信号有两种赋值方式

  • b = a;
  • b <= a;

阻塞赋值可以认为只有一个步骤的操作:即计算RHS并更新LHS。

所谓的阻塞的概念是指,在同一个always块中,后面的赋值语句是在前一句赋值语句结束后才开始赋值的。

如:

下图所用为: Quartus界面下,点开Tools菜单,打开Signaltap·

非阻塞赋值的操作过程可以看做两个步骤:
赋值开始的时候,计算RHS;
赋值结束的时候,更新LHS;

所谓非阻塞赋值的概念是指,在计算机非阻塞赋值的RHS以及更新LHS期间,允许其他的非阻塞赋值语句同时计算RHS和更新LHS。

非阻塞赋值只能用于对寄存器类型的变量进行赋值,因此只能用在initial块和always块等过程块中。

总结:

在描述组合逻辑的always块中用阻塞赋值=,综合成组合逻辑的电路结构;

这种电路结构只与输入电平的变化有关系。

在描述时序逻辑的always块中用非阻塞<=,综合成时序逻辑的电路结构;

这种电路结构往往与触发沿有关系,只有在触发沿时才可能发生赋值的变化。

注意:在同一个always块中不要即使用非阻塞赋值又使用阻塞赋值

    不允许在多个always块中对同一个变量进行赋值!

4.分支语句:

if-else语句

分支语句必须在过程块中使用。
过程块语句是指由initialalways语句引导的块语句。
不同写法:
允许一定形式的简写,如:
if(a) 等同于if(a==1)
if(!a)等同于if(a!=1)
if语句对表达式的值进行判断,若为0,x(不定值),z(高阻值),则按假处理;若为1,按真处理。
if和else后面的操作语句可以用begin和end包含多个语句。
允许if语句的嵌套。

case语句(多分支选择语句)
分支表达式的值互不相同;
所有表达式的位宽必须相等(不能用'bx来代替n'bx);
casez,比较时,不考虑表达式中的高阻值(z)
casex,不考虑高阻值z和不定值x

五、高级知识点 | Verilog 语法相关推荐

  1. 《javascript高级程序设计》第五章知识点总结

    第五章知识点总结 1.object类型 访问对象的方法:①点表示法        (people.name) :      ②方括号表示法         (people[name]). 常用方法:h ...

  2. 个人总结------高级知识点必会

    高级知识点之必会 共44题 1.OC中创建线程的方法是什么?假设指定在主线程中执行代码?怎样延时执行代码.[难度系数★★] 1)创建线程的方法 NSThread NSOperationQueue和NS ...

  3. FPGA笔记1——Verilog语法

    目录 一.Verilog基础语法 1.1 逻辑值: 1.2 数字进制: 1.3 标识符 1.4 数据类型: 寄存器 线网 参数类型 1.5 运算符 二.Verilog程序框架 2.1 注释 2.2 关 ...

  4. 原生 遍历_细品原生JS从初级到高级知识点汇总(三)

    作者:火狼1 转发链接:https://juejin.im/post/5daeefc8e51d4524f007fb15 目录 细品原生JS从初级到高级知识点汇总(一) 细品原生JS从初级到高级知识点汇 ...

  5. [转]verilog语法学习心得

    verilog语法学习心得 1.数字电路基础知识: 布尔代数.门级电路的内部晶体管结构.组合逻辑电路分析与设计.触发器.时序逻辑电路分析与设计 2.数字系统的构成: 传感器  AD  数字处理器  D ...

  6. 【FPGA】——Verilog语法

    简介 (一)概述 Verilog是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,可表示逻辑电路图.逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能. 数字电路设计者利用这种语言, ...

  7. 「万字总结」熬夜总结50个JS的高级知识点,全都会你就是神

    前言 大家好,我是林三心,基础是进阶的前提,上一篇,我给大家分享了我这一年来平时记录的工作中碰到的50个JS基础知识点,今天就给大家分享一下,我这一年来,工作中遇到的50个JS高级知识点吧!!! 知识 ...

  8. Verilog 语法(二)···············简单入门

    经过数字逻辑电路课程的学习,大家已对多路选择器(数据选择器)有了一定的认识.本节将通过建模2选1的数据选择器,简单介绍Verilog的各级建模语言. 一.行为级建模 使用always块来对数据选择器进 ...

  9. 【芯片验证学习纪实】System Verilog语法(1)

    [芯片验证学习纪实]System Verilog语法(1) 继学习了FPGA设计之后,学习IC验证也有一段时间了,学习过程中参考了很多互联网上的优秀资源,在此感谢各位前辈们的无私分享!现在,利用闲暇之 ...

最新文章

  1. Spring Cloud应用开发(一:使用Eureka注册服务)
  2. 【 Notes 】WLAN,Vision Positioning System,Radar
  3. 在ASP.NET中为GridView添加删除提示框
  4. Java计算两个程序运行时间
  5. 鸿蒙系统海外名称,新商标表明华为鸿蒙系统在海外或叫做“Harmony OS”
  6. 数据可视化(BI报表的开发)第一天
  7. Android类参考---Fragment(一)
  8. TikZ绘图示例——尺规作图:任意等分半圆弧
  9. 【cornerstone】撤销标注点位(通过ctrl+z)
  10. Eclipse Maven构建Spring MVC项目
  11. python中的数据成员有两类_Python类和对象
  12. 互联网企业:如何建设数据安全体系?
  13. java字符串查找算法_java – 查找所有“字符相等”字符串的高效算法?
  14. android studio翻译插件Translation使用。。
  15. 如何选购计算机硬盘,如何挑选固态硬盘,教您电脑固态硬盘如何挑选
  16. 人工智能之父图灵之死:谜一样的解谜者
  17. 【SandQuant 量化投资】詹姆斯·托宾:风险条件下的流动性偏好行为
  18. 银行与银行之间的现金是如何流转的:央行支付清算系统
  19. pthread-win32库编译及使用方法注意事项
  20. 数据库(Mysql)----mysql建表中的约束

热门文章

  1. xshell上传本地文件命令_XShell上传、下载本地文件到linux服务器
  2. Matlab基础教程—【07】Matlab二维高层绘图操作
  3. java中封装、继承、多态的理解
  4. 为什么小米、三星、苹果、华为等手机巨头,都纷纷跑去去印度建厂?
  5. 网络编程--TCP/IP协议
  6. 在计算机刚卸载的东西能恢复吗,怎样恢复刚刚删除的文件?
  7. 设置网页便签 html5,基于jQuery的页面便签插件
  8. strtok_s的用法基本解释(2021-07-12)
  9. PTA 7-145 搬砖
  10. 解决Vscode中unresolved import “XXX” 问题