实验原理:使用数字系统中常常需要不同类型的分频,对于偶数次分频要求以50%占空比输出的电路是比较容易的额。但却难以同相同设计方式直接获得奇数分频且占空比为50%的电路。

代码如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity DIV isport(CLK :in std_logic;K_OR,K1,K2:out std_logic);
end;
architecture BHV of DIV issignal C1,C2: std_logic_vector(2 downto 0);signal M1,M2: std_logic;
begin
process(CLK,C1)  beginif rising_edge(CLK)  thenif(C1="100") then C1<="000"; else C1<=C1+1; end if;if(C1="001") then M1<=not M1;elsif(C1="011") then M1<=not M1;end if;end if;
end process;
process(CLK,C2)  beginif falling_edge(CLK)  thenif(C2="100") then C2<="000"; else C2<=C2+1; end if;if(C2="001") then M2<=not M2;elsif(C2="011") then M2<=not M2;end if;end if;
end process;K1<=M1;  K2<=M2;  K_OR<=M1 OR M2;
end BHV;

1.代码分析:

这里面有两个进程,这是第一个进程

process(CLK,C1)  beginif rising_edge(CLK)  thenif(C1="100") then C1<="000"; else C1<=C1+1; end if;if(C1="001") then M1<=not M1;elsif(C1="011") then M1<=not M1;end if;end if;
end process;

VHDL半整数与奇数分频器设计实验相关推荐

  1. 【数字IC手撕代码】Verilog半整数分频|题目|原理|设计|仿真

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  2. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  3. (94)分频器设计(奇数分频)

    (94)分频器设计(奇数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(奇数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

  4. 数电实验6:可控分频器设计

    数电实验6:可控分频器设计 一.实验目的 二.基本实验内容 三.提高性实验内容(选做) 四.预习实验 五.实验报告要求 六.内容讲解(基础实验内容) 七.testbench及仿真结果 1.testbe ...

  5. c语言 一个数组奇数左边 偶数右边,C语言设计实验报告(第七次)

    姓名:龚政 实验地点:寝室 实验时间:2020.6.1 实验项目:8.3.1指针基础及指针运算:8.3.2数据交换:8.3.3字符串反转及字符串连接:8.3.4数组元素奇数偶数排列 实验目的与要求 加 ...

  6. 分频器设计(三)小数分频

    前面分频器设计(一)和分频器设计(二)分别介绍了偶数和奇数分频(即整数分频),接下来本文介绍小数分频. 对于要求相位以及占空比严格的小数分频,建议采用模拟电路实现.而使用数字电路实现只能保证尽量均匀, ...

  7. 计算机原理综合设计实验报告,计算机组成原理--课程设计实验报告.doc

    武汉工业学院 数学与计算机学院 组成原理课程设计 专 业:计算机科学与技术 班 级:________________ 学 号:________________ 姓 名:________________ ...

  8. 湖北汽车工业学院c语言程序设计 汽车零部件采购管理程序,湖北汽车工业学院c语言课程设计实验报告(采购信息管理系统).docx...

    湖北汽车工业学院c语言课程设计实验报告(采购信息管理系统) C语言课程设计 商品销售信息管理系统 #include #include #include #include structproduct// ...

  9. (96)分频器设计(任意分频器)

    (96)分频器设计(任意分频器) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(任意分频器) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 ...

  10. (95)分频器设计(偶数分频)

    (95)分频器设计(偶数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(偶数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

最新文章

  1. MySQL数据表基本操作
  2. (四)Thymeleaf标准表达式之——[3-6] 操作符(文本、算术、布尔、比较及相等)...
  3. Radware:2016年攻击趋势
  4. JFileChooser多选、选择目录
  5. (网络编程)InetAddress(表示ip地址的类)相关使用
  6. boost::mp11::integer_sequence相关用法的测试程序
  7. ITK:转换文件格式
  8. linux音频时钟bclk,linux alsa音频中采样率fs、比特率BCLK 、主时钟MCLK关系
  9. 敏感词过滤的php代码,PHP敏感词过滤
  10. 20个Excel操作技巧,提高你的数据分析效率
  11. xgboost算法_XGBoost 原理 及 常见面试题
  12. JS1 js获取dom元素方法
  13. 07.electron-(渲染进程和主进程)通信
  14. 长方体空间移动工程师_打破常规,私人定制移动阳光房
  15. 中国银行计算机笔试题库,中国银行计算机笔试题
  16. 【夏令营】 My 计算机 夏令营 预推免 记录
  17. 喝酒骑行电瓶车属于违法或者犯罪吗?
  18. MATLAB时频工具箱函数说明(包含工具箱的下载,安装,使用)
  19. Derby 和 Geronimo 使用感觉
  20. python做个抢购器语言,一起开发苏宁的抢购脚本项目,语言用Python,支持Linux和Windows...

热门文章

  1. java案例-酒店管理系统(ssm+mysql+nginx)
  2. Mujoco不错的视频教程
  3. linux运行raxml,在线和本地两种方法构建 RAxML 进化树方法和解读
  4. houdini pyro
  5. jpa 人大金仓数据库方言_人大金仓数据库(kingbase7d)操作入门指南 Windows
  6. 计算机和交换机组建步骤,win7系统使用交换机组建局域网的详细步骤
  7. 三星升级助手无法连接服务器,三星S 换机助手不能连接手机怎么办?
  8. 主板诊断卡的使用方法视频教程
  9. jsp mysql超市管理_基于WEB的小型超市管理系统的设计与实现(JSP,MySQL)
  10. 【Linux operation 07】 - SUSE 12 SP5系统挂载硬盘