基于matlab的dpsk系统仿真代码

差分编码 function Y = difference(X) %UNTITLED Summary of this function goes here % Detailed explanation goes here a=length(X); Y=zeros(1,a); b=0.01:0.01:a; for(i=1:a)is((i-1)*100+1:i*100)=X(i); end figure plot(b,is); axis([0,a+1,-0.1,1.1]); title( 原始序列 ) grid if (X(1)==1)Y(1)=1; else Y(1)=0; endfor i=2:aif(X(i)-X(i-1)~=0) Y(i)=1;else Y(i)=0;end endY;t=0.01:0.01:a; for(i=1:a)st((i-1)*100+1:i*100)=Y(i); end figure plot(t,st); axis([0,a+1,-0.1,1.1]); title( 差分 编码 ) grid endPSK调制 function z=dpsk(X) %UNTITLED2 Summary of this function goes here % Detailed explanation goes here fs=200;w=2; n=length(X); t=1:100; nn=1:100; n1=0.01:0.01:n;%os=zeros(1,100);for(i=1:n)is((i-1)*100+1:i*100)=X(i);st((i-1)*100+1:i*100)=0; endfor(nn=1:100)oss(nn)=sin(2*pi*w*(t(nn)/100));osc(nn)=sin(2*pi*w*(t(nn)/100)+pi); end %figure %subplot(2,1,1) %plot(t/100,oss); %axis([0,n+1,-1.1,1.1]); %title( 信号波形1 ) %grid %subplot(2,1,2) %plot(t/100,osc); %axis([0,n+1,-1.1,1.1]); %title( 信号波形2 ) %gridfor(i=1:n)if(X(i)==1)for(nn=1:100)st(100*(i-1)+nn)=oss(nn);endelse for(nn=1:100)st(100*(i-1)+nn)=osc(nn);endendend st; figure subplot(2,1,1) plot(n1,is); axis([0,n+1,-0.1,1.1]); title( 原始信号 ) grid subplot(2,1,2) plot(n1,st); axis([0,n+1,-1.1,1.1]); title( 调制信号 ) grid%%%%%%%%%%%%%功率谱 %f1=50; %figure %psd(spectrum.welch,st, fs ,f1),title( 调制信号功率谱密度 ); %gridtt=0.01:0.01:n; %%%%%%%%%%%%%%%%%%%%%高斯白噪声信道 stt=awgn(st,5); figure plot(tt,stt); axis([0,n+1,-1.5,1.5]); title( 通过 高斯信道后的信号 ); griddt=stt.*sin(2*pi*w*tt); figure subplot(2,1,1) plot(tt,dt); axis([0,n+1,-1.5,1.5]); title( 相乘后的波形 ); grid%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%低通滤波器[N,Wn]=buttord(2*pi*25,2*pi*50,3,25, s );%临界频率采用角频率表示? [b,a]=butter(N,Wn, s ); [bz,az]=impinvar(b,a,fs);%映射为数字的?? dt=filter(bz,az,dt); subplot(2,1,2)%15? plot(tt,dt); axis([0,n+1,-1.5,1.5]); title( 低通 滤波后的波形 ); grid %%%%%%%%%%%%%%%%%%%%%%%%%%抽样判决?dt2=0;for(i=1:n) for(j=1:100)% if(dt(100*(i-1)+j)>0)% dt1= dt1+1;% else dt1=dt1;dt1=dt1+dt(100*(i-1)+j);% end% dt2=dt1/100;enddt2=dt1/100;if(dt2>0.3)dtt(100*(i-1)+1:100*i)=1;dt2=0;dt1=0;else dtt(100*(i-1)+1:100*i)=0;dt2=0;dt1=0;endend figure %subplot(2,1,2) plot(tt,dtt); axis([0,n+1,-0.1,1.1]); title( 判决后的波形 ); grid %%%%%%%%%%%%逆码变换后的序列 z=zeros(1,n); for(i=1:n) if(dtt(100*(i-1)+50)==1)z(i)=1;else z(i)=0;end end z; end 解差分 function X =indifference (Y) %UNTITLED2 Summary of this function goes here % Detailed explanation goes here a=length(Y); X=zeros(1,a); if(Y(1)==1)X(1)=1; else X(1)=0; end for(i=2:a) if(Y(i)==0)X(i)=X(i-1); else if(X(i-1)==0)X(i)=1;else X(i)=0;end end end X; t=0.01:0.01:a; for(i=1:a)st((i-1)*100+1:i*100)=X(i); end figure plot(t,st); axis([0,a+1,-0.1,1.1]); title( 解差分 ) grid end

matlab的dpsk,基于matlab的dpsk系统仿真代码相关推荐

  1. matlab设计译码器,基于MATLAB的循环码编译码器设计与仿真.doc

    扳昂旨螺冈唉陨裤外狸尿恨铸伸隧刽搅必勒诚天腑皖漂豌鲁靳碑缆键兽峙棘陶宽槐撒层僧袁廖颤渐魄货鼎躬薛扬衍逮西兰迫依煤鲁虐渠惫平合啥昭并屿己笆坍痞庐披吏去凄嘛兄察突徊溅今箩直藩潦咙锨谓崇若制匹扮复淌颐糖嗅你 ...

  2. 「电子万年历matlab仿真」——基于Matlab的电子万年历仿真实现

    「电子万年历matlab仿真」--基于Matlab的电子万年历仿真实现 作为一种具有时间显示.日期查询.闹钟提醒等功能的电子产品,电子万年历已经成为了人们日常生活中不可或缺的一部分.而在现代科技的发展 ...

  3. matlab扩频仿真,#基于matlab的直接序列扩频通信系统仿真

    <#基于matlab的直接序列扩频通信系统仿真>由会员分享,可在线阅读,更多相关<#基于matlab的直接序列扩频通信系统仿真(9页珍藏版)>请在人人文库网上搜索. 1.基于M ...

  4. matlab 雷达系统界面,基于Matlab的雷达系统仿真软件包设计与实现

    0 引言    近些年来国际上相继发展了一些雷达仿真系统,而国内相控阵雷达系统和脉冲压缩雷达系统仿真软件的研究已经成为一个热点.对于一个复杂的雷达系统,系统建模与仿真技术是雷达系统设计和研究的一个重要 ...

  5. 基于matlab的雷达,基于Matlab的雷达系统仿真

    Vol.11No.9Sep.2009 第11卷第9期 2009年9月 http://www.doczj.com/doc/eae9543010661ed9ad51f3cb.html 2009.9 0引言 ...

  6. matlab模拟燃烧,基于MATLAB/Simulink的燃烧过程控制系统仿真

    2012 年 3 月 郑 州 大 学 学 报 ( 工 学 版 ) Mar. 2012 第 33 卷 第 2 期 Journal of Zhengzhou University ( Engineerin ...

  7. matlab距离保护程序,基于MATLAB的距离保护仿真.doc

    基于MATLAB的距离保护仿真 摘要:本文阐述了如何利用Matlab中的Simulink及SPS工具箱建立线路的距离保护仿真模型,并用S函数编制相间距离保护和接地距离保护算法程序,构建相应的保护模块, ...

  8. matlab 非线性电感,基于Matlab/Simulink利用动态和静态电感等磁参数建立了一种开关磁阻电机的非线性磁参数模型...

    基于动.静态电感特性的开关磁阻电机非线性磁参数模型 蒋涛 (北京航空航天大学,北京100191) 摘要:基于Matlab/Simulink.利用动态和静态电感等磁参数建立了一种开关磁阻电机的非线性磁参 ...

  9. matlab谐波电流测量,基于MATLAB谐波电流检测仿真研究

    随着电力电子器件的广泛应用,系统电流发生畸变,电网中的谐波污染日益严重,影响了供电质量并且造成了电能的浪费,所以电网中的谐波问题的解决备受关注.本文基于瞬时无功功率理论,设计了电网谐波电流实时检测方法 ...

最新文章

  1. pytorch学习笔记(九):PyTorch结构介绍
  2. 2021学科排名:中国12个工科世界第一,网友:全是不吃香的
  3. mysql如何进行压测_详解MySQL如何按表创建千万级的压测数据
  4. Cesium Vue开发环境搭建
  5. win10 自待wmi应用 查询wmi
  6. (第九周)团队项目14
  7. JS module的导出和导入 1
  8. 浙大 PAT b1022
  9. 烤烟发病叶片高光谱特征分析
  10. JAVA集合三:几种Set框架
  11. linux mint 安装内核,使用Ukuu在Ubuntu/Linux Mint上安装Linux Kernel 5.0的方法
  12. BZOJ1185[HNOI2007] 最小矩形覆盖
  13. php ood,ooa ood oop编程思想_php oop思想_冒号课堂编程范式与oop思想
  14. 伺服舵机匀加速和匀减速程序控制
  15. 【方法篇】质谱手段分析组蛋白修饰类型
  16. 【鹏哥C语言网课笔记】:初始C语言
  17. 校园火灾Focue-3---》音乐
  18. MySQL视图(view)基本用法
  19. TypeError: can‘t convert cuda:0 device type tensor to numpy. Use Tensor.cpu()
  20. 最新Lua网络验证系统+lua代码/PHP后端开发

热门文章

  1. STM32f103 CubeMX封装 led程序
  2. ECharts实现全国空气质量查询
  3. Python代码游戏————星球大战
  4. 班级纪念册php源码,班级纪念册制作寄语,高中毕业纪念册文字分享
  5. R12 表详解系列—-总账
  6. 2021年下半年信息系统监理师上午真题及答案解析
  7. Ubuntu 14.04 安装 QQ2013
  8. 记录一次ABP下载模板的坑
  9. typecho网站搭建
  10. 包含Easylink的微控制器解决方案(www.mxchip.com)