分别安装Xilinx ISE 14.7与Modelsim10.1a

Modelsim10.1a下载地址:https://pan.baidu.com/share/link?shareid=72194&uk=1795984406

联合仿真步骤

选中工程,右键选择Design Properties,然后在 simulator 中将Simulator修改为Modelsim-SE Mixed:

View部分选中Simulation,点击工程top文件,双击Simulator Behavioral Model调用modelsim进行联合仿真:

出现的问题

问题1

点击Simulate Behavioral Model后提示以下错误:
Model Technology’s vsim executable cannot be found by Project Navigator.Please go to the ‘Edit’menu,select’preferences’and then select the ‘Integrated tools’tab.Using this dialog select the ModelSim executable that you wish to use for simulation .Then try this Process again.

解决方法:
需要指定modelsim的安装位置。
在ISE中打开Edit/Preferences/Ise General/Integrated Tools/ Model Tech Simulator,
选择modelsim路径, 例如 D:\modeltech_10.1a\win32\modelsim.exe,问题解决。

问题2

点击Simulate Behavioral Model后提示以下错误:

解决方法:
(1)点击开始,Xilinx ISE Design Suite 14.7/EDK Tools/Compile Simulation Libraries。一直点击next,编译的library输出目录是: D:\Xilinx\14.7\ISE_DS\EDK ,编译需要比较长的时间

编译开始:

编译完成:

(2)从Process菜单,选择Process Properties…,设置Compiled Libraey Directory:

(3)复制 D:/Xilinx/14.7/ISE_DS/EDK/modelsim.ini里的[Library]节中的下列行到 D:/modeltech_10.1a/modelsim.ini 里的 [Library] 节下:

secureip = D:\Xilinx\14.7\ISE_DS\EDK/secureip
unisim = D:\Xilinx\14.7\ISE_DS\EDK/unisim
unimacro = D:\Xilinx\14.7\ISE_DS\EDK/unimacro
unisims_ver = D:\Xilinx\14.7\ISE_DS\EDK/unisims_ver
unimacro_ver = D:\Xilinx\14.7\ISE_DS\EDK/unimacro_ver
simprim = D:\Xilinx\14.7\ISE_DS\EDK/simprim
simprims_ver = D:\Xilinx\14.7\ISE_DS\EDK/simprims_ver
xilinxcorelib = D:\Xilinx\14.7\ISE_DS\EDK/xilinxcorelib
xilinxcorelib_ver = D:\Xilinx\14.7\ISE_DS\EDK/xilinxcorelib_ver
uni9000_ver = D:\Xilinx\14.7\ISE_DS\EDK/uni9000_ver
cpld = D:\Xilinx\14.7\ISE_DS\EDK/cpld
cpld_ver = D:\Xilinx\14.7\ISE_DS\EDK/cpld_ver
edk = D:\Xilinx\14.7\ISE_DS\EDK/edk  

问题3

ISE通过双击Simulator Behavioral Model调用modelsim进行仿真时出现以下错误:
Unable to checkout a viewer license necessary for use of the ModelSim graphical user interface. Vsim is closing.

**解决方法:**Modelsim不能同时打开两个窗口,这个错误是由于已经打开了一个仿真窗口,所以解决方法就是关闭已经打开的仿真窗口。

问题4

Modelsim仿真时,输入run后,可以运行仿真,但却无法察看wave窗口的波形。
解决方法:
这是优化的问题。点击工具栏中的“simulate”按钮,调出start smulation窗口,把窗口中最下边optimization栏中的Enable optimization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了。

Xilinx ISE 14.7与Modelsim10.1a联合仿真相关推荐

  1. Xilinx ISE 14.7 官方Win10版本安装教程(解决Win10闪退问题)

    Xilinx ISE 14.7 官方Win10版本安装教程(解决Win10闪退问题) 说在前面 第一步 官网下载 ISE 14.7 Win10 第二步 安装 第三步 运行程序 第四步 Oracle V ...

  2. Linux环境下安装Xilinx ISE 14.6

    1.从官网下载ISE 到官网注册,然后下载linux版本的ISE14.7. 链接:http://www.xilinx.com/support/download/index.html/content/x ...

  3. Quartus 13.0和Modelsim SE 10.1a 联合仿真

    Quartus 13.0和Modelsim SE 10.1a联合仿真 1.首先在Quartus建立工程,编写HDL文件,进行编译:编译通过后编写testbench文件,再进行编译,直到通过没有错误.. ...

  4. Xilinx_ISE和ModelSim的联合使用方法 / 从Xilinx ISE 14.7启动ModelSim时遇到的问题

    解决方法: 前提是安装了 xilinx ise14.7 和modelsim se 10.1a 1〉从Windows的Start Menu开始,Xilinx ISE Design Suite 14.7 ...

  5. Xilinx ISE 14.7 安装教程

    在软件安装之前,得准备好软件安装包,可从Xilinx官网上下载: http://china.xilinx.com/support/download/index.html/content/xilinx/ ...

  6. Xilinx ISE 14.7 设置编辑器字体大小

    左上角 : edit--preference

  7. Xilinx ISE系列教程(6):ModelSim联合仿真

    文章目录 @[toc] 1. ModelSim下载.安装与注册 2. 编译Xilinx仿真库 3. ini文件修改 4. 在ISE中使用ModelSim 5. 示例工程下载 本文是Xilinx ISE ...

  8. ISE14.7联合Modelsim10.1a设置

    本文介绍ISE工具调用modelsim工具进行仿真,在modelsim工具中调用ISE工具中的仿真库文件. 1.产生ISE仿真库文件,在"Xilinx Design Tools->IS ...

  9. ISE和Modelsim联合仿真

    相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真.我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下.我尽量讲得详细点儿,多多上图. 我的环境:Windo ...

最新文章

  1. h3c交换机端口加入vlan命令_华为交换机批量加入 Vlan 方法
  2. 1090 Highest Price in Supply Chain 需再做
  3. SharePoint 2010设置问卷调查权限
  4. CSS3--2D3D的使用
  5. vim shell命令
  6. c++获取一段代码的执行时间_微软IE浏览器JScript脚本引擎远程代码执行漏洞通告...
  7. 2021年荷兰经济发展研究报告
  8. 三线表里加小短线_三线表的格式
  9. 论基于架构的软件设计方法及应用
  10. arcgis 批量计算几何_GIS中的计算几何
  11. 微软bi报表服务器,安装 Power BI 报表服务器
  12. 固态硬盘运行服务器,固态硬盘(SSD)在服务器中的工作原理是什么
  13. 【NYOJ】[845]无主之地1
  14. [转载]无欲则刚?_huadingjin_新浪博客
  15. 高速公路ETC卡签之我见8-OBU发行
  16. 计算机科学转金融工程,计算机如何转金融与金融工程
  17. ANSYS stl文件导入(SpaceClaim)
  18. 宾馆管理(数据库课设)
  19. Google2mapinfo的完美转换
  20. 开博尔C9/M8/F2/H7/英菲克I6等_全志H8芯片_4.4.2_root_通刷_线刷固件包

热门文章

  1. g++编译报错原因分析 makefile : *** commands commence before first target. stop.
  2. 建设小程序商城系统方式分享及优缺点分析
  3. JsDoc Toolkit
  4. 西方执意政治解读索契冬奥 唱衰俄罗斯复兴前景
  5. 粘贴时,word如何去除原文中的格式
  6. 软件工程师道德规范(转载)
  7. SourceTree+git结合gitee实现代码管理
  8. 104. 二叉树的最大深度
  9. 在VMware安装上Ubuntu
  10. To Be Better ——《人生十二法则》观后感