电子表的设计与验证(学习)

2、时钟频率选择

设计代码
输入信号:
clk 时钟信号
rstn 复位信号
div 分频倍数
输出信号
clk_out 输出频率信号

实现代码:


```csharpmodule int_div(clk,rstn,clk_out,div_n);
input clk;
input rstn;
input [31:0] div_n;
output clk_out;reg clk_p_r;
reg clk_n_r;reg [31:0] count_p;
reg [31:0] count_n;wire [31:0]full_div_p;
wire [31:0]half_div_p;
wire [31:0]full_div_n;
wire [31:0]half_div_n;assign full_div_p = (count_p < div_n - 1);
assign half_div_p = (count_p < (div_n>>1) - 1);
assign full_div_n = (count_n < div_n - 1);
assign half_div_n = (count_n < (div_n>>1) - 1);assign clk_out = clk_p_r | clk_n_r;always @(posedge clk) beginif (full_div_p) begincount_p <= count_p + 1'b1;if (half_div_p) clk_p_r <= 1'b0;elseclk_p_r <= 1'b1;endelse begincount_p <= 0;clk_p_r <= 1'b0;end
endalways @(negedge clk) beginif (full_div_n) begincount_n <= count_n + 1'b1;if (half_div_n) clk_n_r <= 1'b0;elseclk_n_r <= 1'b1;endelse begincount_n <= 0;clk_n_r <= 1'b0;end
endalways @(negedge rstn) beginclk_p_r <= clk;
end
endmodule

div选择模块


```csharp
module clk_choose(flag_i,div_n);
input  [1:0] flag_i;
output [31:0]div_n;assign div_n = (flag_i == 2'b00)? 50: 150;
endmodule

电子表设计与验证(时钟频率选择)相关推荐

  1. FPGA逻辑设计回顾(12)RAM以及ROM的RTL设计及其验证

    前言 本文首发:FPGA逻辑设计回顾(12)RAM以及ROM的RTL设计及其验证 RAM以及ROM在FPGA中的实现大体有两种方式,一种是使用IP核定制,一种是RTL设计. 也许有人会反驳,那原语呢? ...

  2. verilog基础-状态机之FPGA独立按键消抖设计与验证(熟练testbench的写法)

    独立按键消抖设计与验证 本实验主要是为了锻炼状态机的思维模式以及熟练掌握TB的写法 本节主要收获了:define的用法,另外就是,顶层的input在TB中是reg的真正含义,其实就是把激励当做寄存器来 ...

  3. TFT_LCD液晶屏驱动设计与验证

    TFT_LCD液晶屏驱动设计与验证 注:在本实验工程中,输出信号中包含 HV 同步模式下需要的行.场同步信号(hsync. vsync)和 DE 同步模式下的 tft_de 信号,各信号正确输出.读者 ...

  4. 基于FPGA的简易DDS信号发生器的设计与验证

    基于FPGA的简易DDS信号发生器的设计与验证 一,理论介绍 补充:举例理解 二,代码实现 1,实验目标 2,MATLAB代码 3,verilog代码及实现思路 一,理论介绍 DDS 是直接数字式频率 ...

  5. SoC设计与验证——概览索引

    一个完整的SoC通常就是我们所说的CPU,它包含了处理器内核等其他的设备或存储器 1. SOC设计 本节主要对SoC设计的整体架构进行概述,具体详情请参照标题下的链接. 1 处理器核心 Core在总线 ...

  6. c语言编程模拟机械钟表行走,C语言课程设计报告-模拟时钟转动程序

    1. 课程设计报告题 目 课 程 名 称 结构化程序设计课程设计 院 部 名 称 专 业 班 级 学 生 姓 名 王蕾 学 号 课程设计地点 课程设计学时 指 导 教 师 金陵科技学院教务处制 程序设 ...

  7. 数字IC设计和验证理论

    1. spec文档 芯片整体系统以及细分模块都需要功能详述文档,包含信息: 接口信息 接口版本,标准,若是标准接口,则不需要时序信息,命令,数据传输信息等,只需要时钟,复位,接口信号名:若是自定义接口 ...

  8. FPGA—HDMI 显示器驱动设计与验证(附代码)

    目录 1.理论 2.实操 2.1 顶层模块 2.2 时钟生成模块 2.3 HDMI 驱动控制模块 2.3.1 编码模块 2.3.2 并行转串行模块 2.4 顶层仿真验证 3.总结 1.理论 HDMI简 ...

  9. FPGA学习之串口发送模块设计与验证

    FPGA学习之串口发送模块设计与验证 1.实验目的: 实现一个串口输出,通过上位机PC查看接收到的是否是串口发送的数据. 2.实验介绍: 学习UART通信原理及其硬件电路设计,使用FPGA实现UART ...

最新文章

  1. 某企业WSUS服务实例介绍
  2. linux-文件权限与目录配置
  3. decode bytes in position 2-3: truncated \UXXXXXXXX escape
  4. 微信小程序-控制文本只显示若干行多余隐藏
  5. 【IT资讯】TIOBE 7月编程语言排行榜显示,这些编程语言更吃香
  6. 法斗几个月长鼻筋_聊一下足底筋膜炎治疗经历
  7. 解决神经网络过拟合问题—Dropout方法、python实现
  8. 作业:Regular Expression
  9. msvcp140.dll缺失
  10. python代码段_python代码段有哪些
  11. steam常用计算机,絮絮叨叨的繁星 篇二:新电脑必备——常用验机和跑分软件汇总...
  12. Maven 引入 ojdbc:ojdbc14-10.2.0.4.0.jar
  13. golang语言环境搭建
  14. 教你配置赏心悦目的开发神器 Atom-郭永峰-专题视频课程
  15. linux usb ic读卡器,在Linux下使用ACS ACR1252U USB NFC读卡器
  16. Brocade 光纤交换机配置命令
  17. rpg人物制作软件_RPG Maker系列最新版本《RPG制作大师MZ》上架Steam
  18. EMD(经验模态分解)
  19. 2022 IDLE 配置
  20. 51单片机串口中断功能的设置

热门文章

  1. HTML中的table表格重叠的边框去除以及合并方法
  2. AOSP 安卓源码7.1
  3. Canvas大小改变后进行图像重绘
  4. 【annoy】高维空间求近似最近邻
  5. CONDITIONS EVALUATION REPORT
  6. UCSB与UCI计算机专业,UCSB和UCI录取拿到选择去哪个?
  7. [VR][Unity]PICO G2 4K开发几个烦人的问题
  8. 用hutool将数字转简体中文和繁体中文,支持金额模式
  9. 操作系统:BIOS+MBR和UEFI+GPT
  10. 视频会议系统有哪些组成部分?