Quartus-II的初次使用

  • 前言
  • 一、认识D触发器
    • 1、D触发器的结构
    • 2、D触发器的工作原理
    • 2、D触发器的功能表与时序图
  • 二、自己用门电路设计一个D触发器,并进行仿真,时序波形验证
    • 1、工程创建
    • 2、描绘电路图
  • 三、在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证
    • 1.项目创建
    • 2.原理图
    • 3 创建波形图并仿真
  • 四、verilog语言实现D触发器及时序验证
    • 1、项目创建
    • 2、编写verilog文件
    • 3、 创建波形文件并仿真
  • 总结
  • 参考文章

前言

Quartus-II的安装教程点击此处
Modelsim的安装教程点击此处

一、认识D触发器

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。

1、D触发器的结构

D触发器(data flip-flop或delay flip-flop)由4个与非门组成,其中G1和G2构成基本RS触发器。电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。边沿D触发器可由两个D触发器串联而成,但第一个D触发器的CP需要用非门反向。

2、D触发器的工作原理

SD和RD接至基本RS触发器的输入端,它们分别是预置和清零端,低电平有效。当SD=1且RD=0时(SD的非为0,RD的非为1,即在两个控制端口分别从外部输入的电平值,原因是低电平有效),不论输入端D为何种状态,都会使Q=0,Q非=1,即触发器置0;当SD=0且RD=1(SD的非为1,RD的非为0)时,Q=1,Q非=0,触发器置1,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。

2、D触发器的功能表与时序图

功能表:

时序图:

二、自己用门电路设计一个D触发器,并进行仿真,时序波形验证

1、工程创建

  1. File–>New Project
  2. next
  3. 更改工作空间以及项目名称
  4. 直接next
  5. 我们使用AC620开发板作为举例。以照图片进行勾选
  6. 因为我们是直接输入原理图来进行仿真,所以此处我们直接选择next
  7. 选择Finish完成创建

2、描绘电路图

  1. 创建一个波形文件(File–>New)

  2. 按如下的方式,依次添加4个nand2(二输入与非门)以及一个not(非门)


    完成添加后如下图

  3. 在工具栏中选择输入、输出,以及连线工具,并依照下图完成电路设计

  4. 保存电路图

  5. 点击框中的按钮

    完成后如下

  6. 启动RTL Viewer可以看到如下的硬件电路图

  7. 创建vwm格式波形文件,输入激励源

  8. 按照图示,依次点击

    插入成功后,显示如下的波形

  9. 通过工具栏的按钮,输入Clk和D信号
    Clk信号

    D信号
    鼠标左键选择,双击进行数直编辑

  10. 回到主页面,选择Tool->Optionss

点击按钮进行编译

编译后,会报如下的错误

  1. 回到主界面,点击Launch…


  2. 回到仿真界面,再次点击仿真按钮。成功仿真。

三、在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证

1.项目创建

同上,此处不再累述。

2.原理图

  1. D触发器
  2. 原理图
  3. 硬件图

3 创建波形图并仿真


四、verilog语言实现D触发器及时序验证

1、项目创建

工程创建同上

2、编写verilog文件

  1. 创建Verilog文件
  2. 输入代码,保存并编译

    代码如下
//delayD是文件名
module delayD(d,clk,q);input d;input clk;output q;reg q;always @ (posedge clk)//我们用正的时钟沿做它的敏感信号beginq <= d;//上升沿有效的时候,把d捕获到qend
endmodule
  1. 硬件图

3、 创建波形文件并仿真

总结

本片文章主要是帮助大家熟悉Quartus的使用,以及初步进入Verilog语言的学习。就过程而言较为简单,只要Quartus和Modelsim 的安装没有问题,那么整个过程应该是畅通无阻的。

参考文章

https://blog.csdn.net/QWERTYzxw/article/details/115359118

Quartus-II的初次使用相关推荐

  1. 基于Quartus II软件的FPGA综合实验——多功能数字钟

    有很多自制元器件,内部电路附在文章中 文章目录 前言 一.设计要求 二.设计原理 三.设计过程 1.数码管扫描模块 2.计时模块 3.闹钟模块 4.闹钟响铃模块 5.数码管显示模块 6.整点报时功能 ...

  2. 用Quartus II Timequest Timing Analyzer进行时序分析 :实例讲解 (一)

    一,概述 用Altera的话来讲,timequest timing analyzer是一个功能强大的,ASIC-style的时序分析工具.采用工业标准--SDC(synopsys design con ...

  3. (原創) 如何將編譯結果,統一放在一個目錄下? (SOC) (Quartus II)

    Abstract Quartus II預設會將所有檔案都放在project的根目錄下,導致根目錄檔案過多,管理不便,若能將編譯的結果統一放到其他目錄下,將有助於日後管理. Introduction 使 ...

  4. (筆記) 如何安裝Altera USB Blaster? (SOC) (Quartus II) (DE2)

    Abstract當將DE2的USB排線插入PC後,重新開機會找到新的硬體,這就是USB Blaster,是PC與DE2溝通的橋梁. Introduction 使用環境:Quartus II 7.2 S ...

  5. Vivado和Quartus ii 中工程存档(Archive project)及打开

    下面介绍下在Quartus ii 中进行工程存档(Archive project)以及打开存档工程的操作方法,当需要把工程发给其它电脑时,用工程存档比较方便,空间占用小,而且不会出现文件丢失的情况.我 ...

  6. 使用Altera综合工具Quartus II下载到FPGA时无法识别USB-Blaster问题

    版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明. 本文链接:https://blog.csdn.net/l2563898960/article/ ...

  7. Quartus II工程文件的后缀含义

    Quartus II工程文件的后缀含义 本文为网络整理,大部分内容来自网络. File Type Extension AHDL Include File .inc ATOM Netlist File ...

  8. [笔记].在Quartus II下载时,找不到USB-Blaster的一个可能原因

    症状 有客户反馈在设备管理器中可查看到Altera USB-Blater的驱动已经正常安装. 图1 已正常安装驱动的Altera USB-Blaster 但是在Quartus II需要下载的时候,却找 ...

  9. 记录一次quartus II prime standard 18添加器件库的方法

    Quartus II 18 prime standard 我很早之前就已经安装好了,但是一直没用,所以当时为了节约内存就没有安装device,我一直用的quartus 13.0 .今天我想着是要解决一 ...

  10. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

最新文章

  1. C++通过HTTP请求Get或Post方式请求Json数据(转)
  2. 转 The connection to adb is down, and a severe error
  3. Web漏洞扫描(三:Burp Suite的基本操作)
  4. apache日志设置方法:按时间、按大小记录
  5. 【C++ STL】vector库使用方法
  6. JSP关于Frameset的简单用法
  7. 1013 Battle Over Cities
  8. 8597 石子划分问题 dpdp,只考虑第一次即可
  9. mysql存储过程批量建表
  10. 一、kafka安装下载与kafka初步应用
  11. 解决:xml文件单行注释、多行注释问题
  12. 提高工作效率的工具[分享]
  13. 唐国庆出任木林森执行总经理,给木林森带来怎样的想象空间?
  14. Collections、Set、Map、斗地主排序
  15. 极客时间Go实战训练营zui新
  16. JS Binding 技术(1)
  17. iperf测试工具使用方法
  18. 责任链模式实践之Zuul责任链模式
  19. AIS数据压缩-改进的DP算法(Improved DP algorithm)
  20. 2022亚太C题赛题分享

热门文章

  1. 安卓实例04-5:使用开源项目Snowboy为讯飞语音助手添加热词唤醒功能(成功)(有视频演示)
  2. 【沃顿商学院学习笔记】商业分析——People Analytics:14 干预协作网络 Intervening Collaboration Networks
  3. 24.类加载器命名空间实战剖析与透彻理解
  4. Feign的概念和作用
  5. 当我开始学习人工智能:人工智能的学派及研究目标
  6. 易语言创建大漠模块及免注册调用大漠
  7. 【Github】git clone命令下载文件中途停止不动
  8. VS Code 基础教程(一)—— VS Code 的基本使用入门
  9. 没读过大学也能去谷歌当工程师?未来硅谷想要怎样的人才?
  10. 船用计算机控制电缆,ZR-DJYPVP32计算机控制电缆2*2*1.5价格