在模拟IP仿真时,通常会使用到上拉、下拉电阻设置(此时还不是网表或hi spice仿真),其上拉电阻对应信号可赋值为1,下拉电阻赋值为0。因此会使用到pullup或pulldown。如下举例(引自某度问答):

wire abc;
pullup(abc);
assign abc = enable ? 1’b0 : 1’bz;
enable为bai1的时du候 abc信号为0
enable 为0的时候 assign语句的输出为高阻,zhi但是因为pullup了abc,所以abc的值为1
pullup是不可综合的,其实需要在PCB上做一个dao上拉的电阻,然后在库里面选一个open drain的pad,把 enable接到pad的enable输入
如果是FPGA的话,看到这个语句, FPGA的综合器会自动知道把enable 接到pad的enable端 assign abc = enable ? 1’b0 : 1’bz;

但在实际的EDA数字仿真时,对应信号直接tie0/1其仿真效果一样,因此个人觉得pullup/down可能在数仿并无实际意义,应该在模拟电路仿真时才有实际的爬坡或缓降效果。

Verilog语法中pullup.pulldown使用举例和分析相关推荐

  1. verilog语法中+:和-:用法

    verilog语法中+:和-:主要用来进行位选择. 位选择从向量net.向量 reg.整数变量或时间变量中提取特定位.可以使用表达式寻址该位.如果位选择超出地址边界或位选择为 x 或 z,则引用返回的 ...

  2. Verilog语法中parameter与localparam

    Verilog语法中parameter与localparam 对读者的假设 已经掌握: .可编程逻辑基础 .Verilog HDL基础 .使用Verilog设计的Quartus II入门指南 .使用V ...

  3. Verilog语法总结

    Verilog HDL语法总结 逻辑 0:表示低电平,也就是对应我们电路的GND: 逻辑 1:表示高电平,也就是对应我们电路的VCC: 逻辑 X:表示未知,有可能是高电平,也有可能是低电平: 逻辑 Z ...

  4. (45)System Verilog 类中变量随机激励约束语法

    (45)System Verilog 类中变量随机激励约束语法 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 类中变量随机激励约束语 ...

  5. 软硬件学习记录5—— Verilog语言中的数据类型

    Verilog语言中的数据类型 在 Verilog 语言中,主要有三大类数据类型:寄存器数据类型.线网数据类型和参数数据类型. 1.寄存器类型 reg 1.1 概述: 寄存器数据类型的关键字是 reg ...

  6. Verilog语法之变量

    本文转自知乎罗成的Verilog语法之三:变量 总的文章链接地址小白如何快速入门Verilog 本文首发于微信公众号"花蚂蚁",想要学习FPGA及Verilog的同学可以关注一下. ...

  7. 【Verilog 语法】~ if-else、case、for、generate、函数 function、任务 task、过程块、位宽计算、阻塞/非阻塞、时间尺度、存储器设计、

    文章目录 1. if-else 1.1 设计要点 2. case 2.1 概述 2.2 语法 2.3 注意事项 3. for 3.1 区别与其它语言的for循环 3.2 注意事项 4. generat ...

  8. Cyclone FPGA踏足笔记(二):Verilog语法学习总结

    欢迎来我的个人博客:https://codinglover.top/ 转转! 前言 花了一个月时间零零碎碎看了下Verilog的语法,终于把Verilog的基本语法学了个大概,可以自己写点小东西了,由 ...

  9. [转]verilog语法学习心得

    verilog语法学习心得 1.数字电路基础知识: 布尔代数.门级电路的内部晶体管结构.组合逻辑电路分析与设计.触发器.时序逻辑电路分析与设计 2.数字系统的构成: 传感器  AD  数字处理器  D ...

最新文章

  1. 软件质量与测试 第4周小组作业
  2. 基于Springboot实现的固废物管理系统
  3. setsockopt()函数功能介绍
  4. TF之LSTM:利用多层LSTM算法对MNIST手写数字识别数据集进行多分类
  5. Windows、Linux系统安装JDK配置Java环境变量
  6. golang中值类型/指针类型的变量区别总结
  7. c语言自建一个窗口,C语言 手把手教你写个自定义printf
  8. HTTP协议-GET/POST请求
  9. python之路_数据备份及pymysql模块
  10. ceb怎么转换成word_win8.1系统将ceb文件格式转换成word的方法
  11. c语言中用于获取字符串长度的函数是,C语言字符串长度
  12. 从《天龙八部 3D》到《诛仙》手游,我们如何连续做出成功产品?
  13. UE4设置场景摄像机视角
  14. Vue子组件的显示与隐藏
  15. 罗敏:趣店不存在违规催收,最多打电话提醒还款
  16. 我想推出这么一种应用(现代诗歌)
  17. SpringMVC之使用SpringMVC获取参数与返回数据
  18. mysql outer join的用法_MySQL 8 中的连接语法JOIN、OUTER JOIN的相关用法
  19. SQL 里ESCAPE的用法
  20. Web全栈~10.流程控制

热门文章

  1. 黎曼流形学习的学习笔记(1):Moser Flow: Divergence-based Generative Modeling on Manifolds(来源:NIPS 2021 oral)
  2. 广告屏蔽软件Adblock Plus下载量近10亿
  3. 一分钟带你了解全民拼团模式解析
  4. 华为历史的几次重大管理变革
  5. 报错:nested exception is org.mybatis.spring.MyBatisSystemException: nested exception is org.apache.iba
  6. AAL2 AAL5 (2)
  7. GridView CheckBox 实现全选,跨页 CheckBox选择
  8. vue 修改浏览器标签名的办法
  9. java静态多态实例_[后续] Java 中类的实例化过程之多态篇--(终于发现Java 原来是这样则的玩的)...
  10. P2956 [USACO09OCT]机器人犁田The Robot Plow